Annotation of src/share/misc/acronyms.comp, Revision 1.266
1.266 ! sevan 1: $NetBSD: acronyms.comp,v 1.265 2019/06/12 16:49:48 sevan Exp $
1.53 jmmv 2: 3WHS three-way handshake
1.127 jruoho 3: 8VSB 8-state vestigial side band modulation
1.120 jruoho 4: AA anti-aliasing
1.131 wiz 5: AAA authentication, authorization, [and] accounting
1.120 jruoho 6: AAT average access time
1.6 jschauma 7: ABI application binary interface
1.239 sevan 8: ABNF augmented backus-naur form
1.121 jruoho 9: ABR available bit rate
1.199 ginsbach 10: ABRT automatic bug reporting tool
1.120 jruoho 11: AC alternating current
1.127 jruoho 12: ACI adjacent channel interferer
1.117 jruoho 13: ACID atomicity, consistency, isolation, durability
1.131 wiz 14: ACK Amsterdam compiler kit
1.120 jruoho 15: ACK acknowledgement
1.53 jmmv 16: ACL access control list
1.120 jruoho 17: ACL active current loop
1.223 sevan 18: ACL asynchronous connection less
1.198 ginsbach 19: ACP auxiliary control {process,program}
1.18 jmmv 20: ACPI advanced configuration and power interface
1.121 jruoho 21: ACS access control set
22: ACU automatic calling unit
1.78 dholland 23: ADB Apple desktop bus
1.26 wennmach 24: ADC analog [to] digital converter
1.122 jruoho 25: ADD acronym driven development
1.121 jruoho 26: ADO active data objects
27: ADP automatic data processing
1.49 wiz 28: ADPCM adaptive differential pulse code modulation
1.122 jruoho 29: ADS alternate data stream
1.53 jmmv 30: ADSL asymmetric digital subscriber line
1.131 wiz 31: ADT abstract data type
1.199 ginsbach 32: AER advanced error reporting
1.96 dholland 33: AES Advanced Encryption Standard
1.202 sevan 34: AFP Apple Filing Protocol
1.96 dholland 35: AFS Andrew File System
1.121 jruoho 36: AGC automatic gain control
1.15 keihan 37: AGP accelerated graphics port
1.121 jruoho 38: AI analog input
1.120 jruoho 39: AI artificial intelligence
40: AL access list
41: AL active link
1.109 ahoka 42: ALE address latch enable
1.126 jruoho 43: ALS ambient light sensor
1.94 jruoho 44: ALU arithmetic and logical unit
1.151 dholland 45: ALUA asymmetric logical unit access
1.120 jruoho 46: AM access method
1.131 wiz 47: AM alignment mask
1.51 jmmv 48: AM amplitude modulation
1.202 sevan 49: AMD Advanced Micro Devices Inc
1.215 ginsbach 50: AMDD Agile model-driven development
1.52 jmmv 51: AMI alternate mark inversion
1.175 kamil 52: AMP asymmetric multiprocessing
1.133 ginsbach 53: AMQP advanced message queuing protocol
1.120 jruoho 54: AMT active management technology
1.121 jruoho 55: AN Arabic number
1.192 ginsbach 56: ANR application not responding
1.96 dholland 57: ANSI American National Standards Institute
1.121 jruoho 58: AO analog output
1.261 sevan 59: AOC add-on card
1.131 wiz 60: AOL Alert-on-LAN
1.121 jruoho 61: AOS add or subtract
1.53 jmmv 62: AP access point
1.120 jruoho 63: AP application processor
1.265 sevan 64: APFS Apple file system
1.8 keihan 65: API application programming interface
1.199 ginsbach 66: APEI ACPI platform error interface
1.40 keihan 67: APIC advanced programmable interrupt controller
1.129 ginsbach 68: APIPA automatic private IP addressing
1.197 sevan 69: APM advanced power management
1.196 maya 70: APM application performance management
71: APU accelerated processing unit
1.145 riz 72: APT advanced persistent threat
1.126 jruoho 73: AQM active queue management
1.110 jruoho 74: ARAT always running APIC timer
1.102 jruoho 75: ARC adaptive replacement cache
1.210 sevan 76: ARC Advanced RISC Computing
1.192 ginsbach 77: ARC automatic reference counting
1.96 dholland 78: ARM Advanced RISC Machines
1.131 wiz 79: ARP Address Resolution Protocol
1.96 dholland 80: ARPA Advanced Research Projects Agency
1.53 jmmv 81: ARQ automatic repeat request
1.122 jruoho 82: ARR address range register
1.121 jruoho 83: ARU audio response unit
1.53 jmmv 84: AS autonomous system
1.202 sevan 85: ASA Adaptive Security Appliance
1.209 kamil 86: ASAN Address Sanitizer
1.122 jruoho 87: ASC advanced smart cache
1.131 wiz 88: ASCII American Standard Code for Information Interchange
1.122 jruoho 89: ASD agile software development
90: ASE advanced software environment
1.215 ginsbach 91: ASF alert standard format
1.120 jruoho 92: ASIC application-specific integrated circuit
1.198 ginsbach 93: ASK amplitude shift keying
1.77 dholland 94: ASLR address space layout randomization
1.131 wiz 95: ASN autonomous system number
1.236 sevan 96: ASP active server pages
97: ASP auxiliary storage pool
1.122 jruoho 98: ASPM active state power management
99: ASQ automated software quality
1.121 jruoho 100: ASR address space register
1.265 sevan 101: ASR Apple software restore
1.79 dholland 102: AST abstract syntax tree
1.204 sevan 103: AST asynchronous system trap
1.120 jruoho 104: AT access time
1.18 jmmv 105: AT advanced technology
1.1 jmmv 106: ATA advanced technology attachment
1.70 wiz 107: ATAPI advanced technology attachment packet interface
1.62 elad 108: ATC address translation cache
1.35 grant 109: ATM asynchronous transfer mode
1.18 jmmv 110: ATX advanced technology extended
1.122 jruoho 111: AV anti virus
1.236 sevan 112: AVB audio video bridging
1.122 jruoho 113: AVL Adelson-Velsky-Landis
114: AVX advanced vector extensions
1.127 jruoho 115: BA byte align
1.120 jruoho 116: BAL basic assembly language
1.78 dholland 117: BAR base address register
1.120 jruoho 118: BBS bulletin board system
1.121 jruoho 119: BCC blind carbon copy
1.94 jruoho 120: BCD binary coded decimal
1.245 sevan 121: BCH boot console handler
1.141 dholland 122: BCR byte count register
1.108 jruoho 123: BCS base configuration space
1.120 jruoho 124: BD baud
1.122 jruoho 125: BDD binary decision diagram
1.127 jruoho 126: BDI bit deinterleave
1.122 jruoho 127: BDUF big design up front
1.16 jmmv 128: BEDO burst extended data output
1.54 jmmv 129: BER basic encoding rules
1.131 wiz 130: BER bit error {rate,ratio}
1.199 ginsbach 131: BERT boot error record table
1.131 wiz 132: BFD binary {file,format} descriptor
1.83 elad 133: BFKL big fscking kernel lock
1.117 jruoho 134: BFS breadth-first search
1.121 jruoho 135: BFT binary file transfer
1.105 jruoho 136: BGA ball grid array
1.131 wiz 137: BGP Border Gateway Protocol
1.165 jnemeth 138: BGPSEC BGP Security
1.96 dholland 139: BIND Berkeley Internet Name Daemon
1.138 jdf 140: BIOS Basic Input/Output System
141: BIOS built-in operating system
1.108 jruoho 142: BIST built-in self-test
1.121 jruoho 143: BIU bus interface unit
1.107 jruoho 144: BKDG BIOS and kernel developer's guide
1.102 jruoho 145: BLAS basic linear algebra subprograms
1.131 wiz 146: BLC back light control
1.2 jmmv 147: BLOB binary large object
1.121 jruoho 148: BM bus master
1.101 jruoho 149: BMC baseboard management controller
1.121 jruoho 150: BMIC bus master interface controller
151: BN boundary neutral
152: BNF Backus-Naur form
153: BO binary output
154: BOF beginning of file
1.131 wiz 155: BOM beginning of message
1.121 jruoho 156: BOM byte order mark
1.107 jruoho 157: BP base pointer
1.120 jruoho 158: BP boot processor
1.122 jruoho 159: BPB BIOS parameter block
1.167 ginsbach 160: BPDU bridge protocol data unit
1.131 wiz 161: BPF Berkeley Packet Filter
1.121 jruoho 162: BPI bits per inch
1.122 jruoho 163: BPM business process modelling
1.48 jmmv 164: BPS bits per second
1.127 jruoho 165: BPSK binary phase shift keying
1.95 wiz 166: BQS Berkeley quality software
1.126 jruoho 167: BRE basic regular expression
1.140 dholland 168: BS backspace
1.126 jruoho 169: BS binary sequence
1.135 jdf 170: BSA basic service area
1.131 wiz 171: BSD Berkeley Software Distribution
1.202 sevan 172: BSDP Boot Service Discovery Protocol
1.121 jruoho 173: BSF bit scan forward
1.94 jruoho 174: BSOD blue screen of death
1.112 dholland 175: BSP binary space partition
1.187 ginsbach 176: BSP board support package
1.126 jruoho 177: BSP bootstrap processor
1.121 jruoho 178: BSR bit scan reverse
1.135 jdf 179: BSS basic service set
1.91 matt 180: BSS block started by symbol
1.135 jdf 181: BSSID basic service set identifier
1.131 wiz 182: BT BitTorrent
1.95 wiz 183: BT Bluetooth
1.122 jruoho 184: BT bit test
185: BTC bit test [and] complement
1.236 sevan 186: BTM bus transport mechanism
1.122 jruoho 187: BTR bit test [and] reset
188: BTS bit test [and] set
1.168 dholland 189: BTS bug tracking system
1.120 jruoho 190: BW bandwidth
1.121 jruoho 191: BWM block-write mode
1.219 ginsbach 192: BUAG big ugly ASCII graphic
1.122 jruoho 193: CA certificate authority
1.19 jmmv 194: CAD computer-aided design
1.103 dholland 195: CAM computer assisted manufacturing
1.131 wiz 196: CAM conditional access module
1.102 jruoho 197: CAM content addressable memory
1.131 wiz 198: CARP Common Address Redundancy Protocol
199: CAS column address strobe
200: CAS compare and swap
1.102 jruoho 201: CAS computer algebra system
1.122 jruoho 202: CASE computer aided software engineering
1.121 jruoho 203: CAU control access unit
1.131 wiz 204: CAV constant angular velocity
1.121 jruoho 205: CBC cipher block chaining
206: CBR constant bit rate
1.198 ginsbach 207: CC carbon copy
1.48 jmmv 208: CCD charge coupled device
1.127 jruoho 209: CCI co-channel interferer
1.181 kamil 210: CCNUMA cache-coherent non-uniform memory access
1.256 sevan 211: CCTL command completion time limit
1.131 wiz 212: CD cache disable
1.9 keihan 213: CD compact disc
1.48 jmmv 214: CDDA compact disc digital audio
1.241 sevan 215: CDL compiler description language
1.126 jruoho 216: CDMA code division multiple access
1.167 ginsbach 217: CDP Cisco Discovery Protocol
1.16 jmmv 218: CDRAM cache dynamic random access memory
1.55 kleink 219: CER canonical encoding rules
1.252 sevan 220: CE customer edge
1.120 jruoho 221: CF compact flash
1.172 riastrad 222: CFB cipher feedback
1.84 dholland 223: CFG context-free grammar
224: CFG control-flow graph
1.209 kamil 225: CFI control-flow integrity sanitizer
1.110 jruoho 226: CG control gate
1.138 jdf 227: CGA Color Graphics Adapter
1.30 jmmv 228: CGI common gateway interface
1.131 wiz 229: CGN Carrier-Grade NAT
230: CHAP Challenge-Handshake Authentication Protocol
1.266 ! sevan 231: CHFS chip file system
1.1 jmmv 232: CHS cylinder/head/sector
1.126 jruoho 233: CI continuous integration
1.131 wiz 234: CI {common,component} interface
235: CIDR Classless Inter-Domain Routing
1.222 sevan 236: CIF common intermediate format
1.131 wiz 237: CIFS Common Internet File System
1.117 jruoho 238: CIL common intermediate language
1.131 wiz 239: CIR carrier-to-interference ratio
1.48 jmmv 240: CIS contact image sensor
1.140 dholland 241: CISC complex instruction set {computer,computing}
1.131 wiz 242: CJK Chinese, Japanese, [and] Korean
1.122 jruoho 243: CLF common log format
1.1 jmmv 244: CLI command line interface
1.265 sevan 245: CLI common language infrastructure
1.120 jruoho 246: CLR common language runtime
1.265 sevan 247: CLS common language specification
1.122 jruoho 248: CLTT closed loop thermal throttling
1.60 uwe 249: CLUT color look-up table
1.131 wiz 250: CLV constant linear velocity
1.122 jruoho 251: CM configuration management
252: CMA concert multithread architecture
1.199 ginsbach 253: CMC chassis management controller
254: CMC corrected machine check
1.184 ginsbach 255: CMS {configuration,content,course} management system
256: CMI control {management,method} interface
1.110 jruoho 257: CMOS complementary metal-oxide-semiconductor
1.121 jruoho 258: CMP chip multi-processing
1.120 jruoho 259: CMS content management system
1.50 salo 260: CMYK cyan magenta yellow black
1.131 wiz 261: CN {common,canonical} name
1.120 jruoho 262: CNC computer numerical control
1.131 wiz 263: CNR carrier-to-noise ratio
1.108 jruoho 264: COF current operating frequency
1.127 jruoho 265: COFDM coded orthogonal frequency division multiplexing
1.37 grant 266: COFF common object file format
1.126 jruoho 267: COM component object model
1.235 sevan 268: COM computer on module
1.107 jruoho 269: COMA cache-only memory architecture
1.126 jruoho 270: CORBA common object request broker architecture
1.199 ginsbach 271: COS class of service
1.58 kleink 272: COW copy-on-write
1.127 jruoho 273: CP continuous pilot
1.121 jruoho 274: CPB core performance boost
1.218 sevan 275: CPC central processor complex
276: CPC cpu performance counters
1.127 jruoho 277: CPE common phase error
1.199 ginsbach 278: CPE common platform enumeration
279: CPER common platform error record
1.121 jruoho 280: CPG clock pulse generator
1.218 sevan 281: CPI cycles per instruction
1.110 jruoho 282: CPL current privilege level
1.126 jruoho 283: CPLD complex programmable logic device
1.140 dholland 284: CPP C preprocessor
1.120 jruoho 285: CPS characters per second
1.255 alnsn 286: CPS continuation-passing style
1.121 jruoho 287: CPT command pass through
1.24 keihan 288: CPU central processing unit
1.94 jruoho 289: CR carriage return
1.120 jruoho 290: CRC cyclic redundancy check
1.127 jruoho 291: CRL carrier recovery loop
1.1 jmmv 292: CRLF carriage return line feed
1.19 jmmv 293: CRT cathode ray tube
1.199 ginsbach 294: CRUD create, read, update, and delete
1.94 jruoho 295: CS cable select
1.108 jruoho 296: CS chip select
1.107 jruoho 297: CS code segment
1.131 wiz 298: CS computer science
1.193 ginsbach 299: CSDL {common,conceptual} schema definition language
1.131 wiz 300: CSI channel state information
1.107 jruoho 301: CSI common system interface
1.53 jmmv 302: CSMA carrier sense multiple access
303: CSMA/CA carrier sense multiple access with collision avoidance
304: CSMA/CD carrier sense multiple access with collision detection
1.254 sevan 305: CSP communicating sequential processes
306: CSP content security policy
307: CSP constrain satisfaction problems
308: CSP cryptographic service provider
1.127 jruoho 309: CSR control [and] status registers
1.140 dholland 310: CSRG Computer Systems Research Group
1.1 jmmv 311: CSS cascading style sheets
1.122 jruoho 312: CSV comma-separated values
1.231 sevan 313: CTF compact c type format
1.120 jruoho 314: CTM close to metal
1.172 riastrad 315: CTR counter [mode]
1.53 jmmv 316: CTS clear to send
1.265 sevan 317: CTS common type system
1.120 jruoho 318: CUA common user access
1.122 jruoho 319: CUT coordinated universal time
320: CV control voltage
1.177 dholland 321: CVE common vulnerabilities and exposures
1.131 wiz 322: CVS Concurrent Versions System
1.122 jruoho 323: DA destination address
324: DAA distributed application architecture
1.127 jruoho 325: DAB digital audio broadcasting
1.131 wiz 326: DAC digital [to] analog converter
1.94 jruoho 327: DAC discretionary access control
1.132 plunky 328: DAD duplicate address detection
1.160 dholland 329: DANE DNS-based Authentication of Named Entities
1.120 jruoho 330: DAO disk at once
1.131 wiz 331: DAP Directory Access Protocol
1.120 jruoho 332: DAT digital audio tape
1.121 jruoho 333: DAT dynamic acceleration technology
1.94 jruoho 334: DB database
1.140 dholland 335: DBA database administrator
1.126 jruoho 336: DBA dynamic bandwidth allocation
1.127 jruoho 337: DBB data bus buffer
1.126 jruoho 338: DBC design by contract
339: DBL dynamic buffer limiting
1.117 jruoho 340: DBMS database management system
1.121 jruoho 341: DBS database server
1.120 jruoho 342: DC direct current
1.131 wiz 343: DCC Direct Client-to-Client
1.121 jruoho 344: DCC direct cable connect
345: DCD data carrier detect
1.53 jmmv 346: DCE data control equipment
347: DCE distributed computing environment
1.219 ginsbach 348: DCIM data center infrastructure management
349: DCIM digital camera images
1.210 sevan 350: DCL Digital Command Language
1.126 jruoho 351: DCOM distributed component object model
1.131 wiz 352: DCOP Desktop COmmunication Protocol
1.121 jruoho 353: DCS data collection systems
1.48 jmmv 354: DCT discrete cosine transform
1.121 jruoho 355: DCU data cache unit
1.19 jmmv 356: DDC display data channel
1.120 jruoho 357: DDE dynamic data exchange
1.121 jruoho 358: DDK device driver kit
1.124 dholland 359: DDL data description language
1.16 jmmv 360: DDR double data rate
1.121 jruoho 361: DDS direct digital sound
1.138 jdf 362: DDWG Digital Display Working Group
1.131 wiz 363: DE debugging extensions
1.104 jruoho 364: DE desktop environment
1.122 jruoho 365: DEA data encryption algorithm
1.202 sevan 366: DEC Digital Equipment Corporation
1.121 jruoho 367: DEK data encryption key
1.110 jruoho 368: DEP data execution prevention
1.55 kleink 369: DER distinguished encoding rules
1.96 dholland 370: DES Data Encryption Standard
1.124 dholland 371: DF don't fragment
1.117 jruoho 372: DFA deterministic finite automaton
1.121 jruoho 373: DFC data flow control
1.94 jruoho 374: DFS depth first search
375: DFS distributed file system
1.209 kamil 376: DFSAN Data Flow Sanitizer
1.131 wiz 377: DFT diagnostic function test
1.96 dholland 378: DFT discrete Fourier transform
1.122 jruoho 379: DGL data generation language
380: DH Diffie-Hellman
1.131 wiz 381: DHCP Dynamic Host Configuration Protocol
1.53 jmmv 382: DIFS distributed inter-frame space
1.94 jruoho 383: DIMM dual inline memory module
1.122 jruoho 384: DIRT design in real time
1.131 wiz 385: DL diode logic
1.139 agc 386: DL discrete logarithm
1.120 jruoho 387: DL download
1.252 sevan 388: DLCI data link connection identifier
1.53 jmmv 389: DLE data link escape
1.94 jruoho 390: DLL dynamic link library
1.139 agc 391: DLP discrete logarithm problem
1.5 jmmv 392: DMA direct memory access
1.110 jruoho 393: DMI desktop management interface
1.122 jruoho 394: DMS document management system
1.127 jruoho 395: DMT discrete multitone modulation
1.220 sevan 396: DMU data management layer
1.95 wiz 397: DNARD Digital network appliance reference design
1.140 dholland 398: DND drag and drop
1.227 sevan 399: DNLC directory name lookup cache
1.131 wiz 400: DNS Domain Name System
1.160 dholland 401: DNSSEC DNS Security Extensions
1.122 jruoho 402: DOE distributed object environment
1.121 jruoho 403: DOF data over fibre
1.94 jruoho 404: DOM document object model
1.53 jmmv 405: DOS denial of service
1.110 jruoho 406: DOS disk operating system
1.138 jdf 407: DP DisplayPort
1.116 jruoho 408: DPC deferred procedure call
1.49 wiz 409: DPCM differential pulse code modulation
1.63 elad 410: DPD dead peer detection
1.131 wiz 411: DPI deep packet inspection
1.48 jmmv 412: DPI dots per inch
1.110 jruoho 413: DPL descriptor privilege level
1.140 dholland 414: DPS Display PostScript
1.122 jruoho 415: DPST display power savings technology
1.190 ginsbach 416: DRAAS disaster recovery as a service
1.16 jmmv 417: DRAM dynamic random access memory
1.172 riastrad 418: DRBG deterministic random bit generator
1.94 jruoho 419: DRI direct rendering infrastructure
1.110 jruoho 420: DRM digital rights management
1.122 jruoho 421: DRRS display refresh rate switching
1.104 jruoho 422: DS debug store
1.139 agc 423: DSA digital signature algorithm
1.167 ginsbach 424: DSAP destination service access point
1.122 jruoho 425: DSB double-sideband modulation
1.105 jruoho 426: DSDT differentiated system descriptor table
1.245 sevan 427: DSF device special file
1.220 sevan 428: DSL dataset and snapshot layer
1.9 keihan 429: DSL digital subscriber line
1.120 jruoho 430: DSL domain specific language
1.94 jruoho 431: DSLAM digital subscriber line access multiplexer
1.122 jruoho 432: DSN delivery status notification
1.107 jruoho 433: DSO dynamic shared object
1.110 jruoho 434: DSP digital signal processor
1.53 jmmv 435: DSSS direct sequence spread spectrum
1.1 jmmv 436: DTD document type definition
1.53 jmmv 437: DTE data terminal equipment
438: DTE dumb terminal emulator
1.110 jruoho 439: DTL diode-transistor logic
1.160 dholland 440: DTLS datagram transport layer security
1.122 jruoho 441: DTS digital thermal sensor
1.126 jruoho 442: DUT device under test
1.104 jruoho 443: DVB digital video broadcasting
1.122 jruoho 444: DVCS distributed version control system
1.9 keihan 445: DVD digital versatile disc
1.105 jruoho 446: DVFS dynamic voltage and frequency scaling
1.138 jdf 447: DVI device independent
448: DVI Digital Visual Interface
1.94 jruoho 449: DVR digital video recorder
1.70 wiz 450: E-XER extended XML encoding rules
1.126 jruoho 451: EABI embedded-application binary interface
1.159 jnemeth 452: EAI Email Address Internationalization
453: EAI Enterprise Application Integration
1.131 wiz 454: EAP Extensible Authentication Protocol
1.166 jnemeth 455: EAPOL EAP over Lan
1.153 jakllsch 456: EBCDIC Extended Binary Coded Decimal Interchange Code
1.212 maya 457: EBDA Extended BIOS Data Area
1.239 sevan 458: EBNF extended backus-naur form
1.122 jruoho 459: EBR extended boot record
1.172 riastrad 460: EC elliptic curve
461: ECC elliptic curve cryptography
1.121 jruoho 462: ECC error correction code
1.172 riastrad 463: ECDH elliptic curve Diffie-Hellman
464: ECDL elliptic curve discrete logarithm
465: ECDLP elliptic curve discrete logarithm problem
466: ECDSA elliptic curve digital signature algorithm
1.110 jruoho 467: ECL emitter-coupled logic
1.94 jruoho 468: ECN explicit congestion notification
1.18 jmmv 469: ECP enhanced capability port
1.121 jruoho 470: ECS enhanced chip set
471: ECS extended configuration space
1.199 ginsbach 472: EDAC error detection and correction
1.121 jruoho 473: EDAT enhanced dynamic acceleration technology
1.172 riastrad 474: EdDSA Edwards curve digital signature algorithm
1.127 jruoho 475: EDGE explicit data graph execution
1.19 jmmv 476: EDID extended display identification data
1.16 jmmv 477: EDO extended data out
1.121 jruoho 478: EDS electronical data sheet
1.180 ginsbach 479: EEE energy efficient ethernet
1.16 jmmv 480: EEPROM electrically erasable programmable read only memory
1.72 hubertf 481: EFI extensible firmware interface
1.121 jruoho 482: EFL emitter follower logic
1.48 jmmv 483: EFM eight to fourteen modulation
1.250 sevan 484: EFS extent file system
1.138 jdf 485: EGA Enhanced Graphics Adapter
1.54 jmmv 486: EGP exterior gateway protocol
1.122 jruoho 487: EH extension header
1.154 jakllsch 488: EIDE enhanced IDE
1.1 jmmv 489: EISA extended industry standard architecture
1.199 ginsbach 490: EINJ error injection table
1.37 grant 491: ELF executable and linking format
1.121 jruoho 492: ELS entry level system
1.122 jruoho 493: EMI electro-magnetic interference
1.121 jruoho 494: EMP electro-magnetic pulse
1.122 jruoho 495: EMR electro-magnetic radiation
1.210 sevan 496: EMACS Editor MACroS
1.66 rpaulo 497: EOF end of file
1.126 jruoho 498: EOI end of interrupt
1.225 htodd 499: EOIS end of interactive support
500: EOL end of life
1.96 dholland 501: EOL end of line
1.66 rpaulo 502: EOT end of transmission
1.120 jruoho 503: EPIC explicitly parallel instruction computing
1.18 jmmv 504: EPP enhanced parallel port
1.51 jmmv 505: EPRML extended partial response, maximum likelihood
1.16 jmmv 506: EPROM erasable programmable read only memory
1.256 sevan 507: ERC error recovery control
1.121 jruoho 508: ERD emergency recovery disk
1.140 dholland 509: ERD entity relationship diagram
1.126 jruoho 510: ERE extended regular expression
1.199 ginsbach 511: ERST error record serialization table
1.209 kamil 512: ESAN Efficiency Sanitizer
1.199 ginsbach 513: ESB enterprise service bus
1.224 sevan 514: ESDI enhanced small disk interface
1.16 jmmv 515: ESDRAM enhanced synchronous dynamic random access memory
1.224 sevan 516: ESI enclosure services interface
1.121 jruoho 517: ESS electronic switching system
1.135 jdf 518: ESS extended service set
519: ESSID extended service set identifier
1.104 jruoho 520: EST enhanced speedstep
1.120 jruoho 521: ETL extract, transform, load
1.121 jruoho 522: EU execution unit
1.120 jruoho 523: EULA end user license agreement
1.30 jmmv 524: FAT file allocation table
1.16 jmmv 525: FBRAM frame buffer random access memory
1.222 sevan 526: FCIF full common intermediate format
1.121 jruoho 527: FCL fiber channel loop
1.58 kleink 528: FCS frame check sequence
1.120 jruoho 529: FDC floppy disk controller
530: FDD floppy disk drive
1.39 jschauma 531: FDDI fiber distributed data interface
1.122 jruoho 532: FDE full disk encryption
1.214 alnsn 533: FDT flattened device tree
1.122 jruoho 534: FEA finite element analysis
1.110 jruoho 535: FEC forward error correction
1.122 jruoho 536: FET field-effect transistor
1.172 riastrad 537: FF finite field
1.140 dholland 538: FF form feed
1.172 riastrad 539: FFDH finite-field Diffie-Hellman
1.110 jruoho 540: FFH functional fixed hardware
1.86 tnn 541: FFI foreign function interface
1.127 jruoho 542: FFM focus follows mouse
1.131 wiz 543: FFS Fast File System
1.87 dholland 544: FFS find first set
1.110 jruoho 545: FFT fast Fourier transform
546: FG floating gate
1.53 jmmv 547: FHSS frequency hop spread spectrum
1.108 jruoho 548: FID frequency identifier
1.121 jruoho 549: FIFO first in, first out
550: FILO first in, last out
1.172 riastrad 551: FIPS Federal Information Processing Standards
1.57 wiz 552: FIR fast infrared
1.9 keihan 553: FLOPS floating [point] operations per second
1.131 wiz 554: FLOSS free/libre/open source software
1.51 jmmv 555: FM frequency modulation
1.122 jruoho 556: FMR false match rate
1.131 wiz 557: FOSS free/open source software
1.94 jruoho 558: FPGA field programmable gate array
1.17 jmmv 559: FPM fast page mode
1.120 jruoho 560: FPR floating point register
1.94 jruoho 561: FPU floating point unit
1.15 keihan 562: FQDN fully qualified domain name
1.122 jruoho 563: FRR false rejection rate
1.94 jruoho 564: FRU field replaceable unit
1.122 jruoho 565: FS file system
1.105 jruoho 566: FSB front side bus
1.115 dholland 567: FSCK file system check
1.131 wiz 568: FSF Free Software Foundation
1.122 jruoho 569: FSK frequency shift keying
1.117 jruoho 570: FSM finite-state machine
1.122 jruoho 571: FTA fault tree analysis
1.134 dholland 572: FTL flash translation layer
1.131 wiz 573: FTP File Transfer Protocol
574: FTPS File Transfer Protocol Secure
1.122 jruoho 575: FUS fast user switching
1.101 jruoho 576: FWH firmware hub
1.120 jruoho 577: FWS folding white space
1.126 jruoho 578: GAL generic array logic
1.101 jruoho 579: GAS generic address structure
1.44 jmmv 580: GC garbage collector
1.172 riastrad 581: GCM Galois counter mode
1.58 kleink 582: GCR group-coded recording
1.206 sevan 583: GDI Graphics Device Interface
1.100 jruoho 584: GDT global descriptor table
1.131 wiz 585: GEM graphics environment manager
1.110 jruoho 586: GEM graphics execution manager
1.126 jruoho 587: GENA general event notification architecture
588: GHC Glasgow Haskell compiler
1.199 ginsbach 589: GHES generic hardware error source
590: GIC generic interrupt controller
1.94 jruoho 591: GID group identifier
1.5 jmmv 592: GIF graphics interchange format
1.101 jruoho 593: GMCH graphics and memory controller hub
1.131 wiz 594: GNU GNU's Not Unix
1.156 jnemeth 595: GOP graphics output protocol
1.107 jruoho 596: GOT global offset table
1.105 jruoho 597: GPE general purpose event
1.113 dholland 598: GPF general protection fault
1.131 wiz 599: GPG GNU Privacy Guard
600: GPL [GNU] General Public License
1.120 jruoho 601: GPR general purpose register
1.126 jruoho 602: GPS generalized processor sharing
1.120 jruoho 603: GPT GUID partition table
1.24 keihan 604: GPU graphics processing unit
1.122 jruoho 605: GR golden ratio
1.53 jmmv 606: GRE generic routing encapsulation
1.105 jruoho 607: GSI global system interrupt
1.131 wiz 608: GUI graphical user interface
1.94 jruoho 609: GUID globally unique identifier
1.185 rtr 610: GVFS git virtual file system
1.78 dholland 611: HA high availability
1.94 jruoho 612: HAL hardware abstraction layer
1.120 jruoho 613: HAT hashed array tree
1.199 ginsbach 614: HATEOAS hypermedia as the engine of application state
1.82 tnn 615: HBA host bus adapter
1.131 wiz 616: HCF halt and catch fire
1.81 tnn 617: HCI host controller interface
618: HCI human-computer interaction
1.120 jruoho 619: HCL hardware compatibility list
1.138 jdf 620: HDCP High-bandwidth Digital Content Protection
1.94 jruoho 621: HDD hard disk drive
1.126 jruoho 622: HDL hardware description language
1.138 jdf 623: HDMI High-Definition Multimedia Interface
1.127 jruoho 624: HDTV high-definition television
1.199 ginsbach 625: HECI host embedded controller interface
626: HEST hardware error source table
1.120 jruoho 627: HF high frequency
1.105 jruoho 628: HFM highest frequency mode
1.245 sevan 629: HFS hierarchical file system
1.94 jruoho 630: HID human interface device
1.194 sevan 631: HKP HTTP Keyserver Protocol
1.121 jruoho 632: HLL high-level language
1.107 jruoho 633: HMA high memory area
1.120 jruoho 634: HMI human-machine interface
1.131 wiz 635: HOOD hierarchical object oriented design
1.121 jruoho 636: HP Hewlett-Packard
1.102 jruoho 637: HPC high performance computing
1.105 jruoho 638: HPET high precision event timer
1.160 dholland 639: HSM hardware security module
1.161 ginsbach 640: HSM hierarchical storage management
1.96 dholland 641: HT hyper-threading
1.108 jruoho 642: HTC hardware thermal control
1.122 jruoho 643: HTCC high temperature co-fired ceramic
1.131 wiz 644: HTML HyperText Markup Language
1.120 jruoho 645: HTT hyper-threading technology
1.131 wiz 646: HTTP Hypertext Transfer Protocol
647: HTTPS Hypertext Transfer Protocol Secure
1.122 jruoho 648: HVM hardware virtual machine
1.208 sevan 649: HVDS High-Voltage Differential Signaling
1.209 kamil 650: HWASAN Hardware-assisted Address Sanitizer
1.95 wiz 651: HZ Hertz
1.1 jmmv 652: I2O intelligent input/output
1.122 jruoho 653: IA information assurance
1.190 ginsbach 654: IAAS infrastructure as a service
1.131 wiz 655: IANA Internet Assigned Numbers Authority
1.122 jruoho 656: IBC iterated block cipher
1.121 jruoho 657: IBM International Business Machines
1.108 jruoho 658: IBS instruction based sampling
1.135 jdf 659: IBSS independent basic service set
1.131 wiz 660: IC integrated circuit
1.121 jruoho 661: ICA independent computer architecture
1.131 wiz 662: ICB Internet Citizen's Band
1.120 jruoho 663: ICE in-circuit emulator
1.131 wiz 664: ICE internal compiler error
1.101 jruoho 665: ICH I/O controller hub
1.131 wiz 666: ICMP Internet Control Message Protocol
1.126 jruoho 667: ICT information and communications technology
1.127 jruoho 668: ICW initialization command word
1.121 jruoho 669: IDA Intel dynamic acceleration
1.140 dholland 670: IDCMP Intuition direct communication message port
1.131 wiz 671: IDE integrated development environment
1.1 jmmv 672: IDE integrated drive electronics
1.124 dholland 673: IDPS intrusion detection [and] prevention system
1.53 jmmv 674: IDRP inter-domain routing protocol
1.94 jruoho 675: IDS intrusion detection system
1.100 jruoho 676: IDT interrupt descriptor table
1.96 dholland 677: IE Internet Explorer
1.131 wiz 678: IEC International Electrotechnical Commission
679: IEEE Institute of Electrical and Electronics Engineers
1.95 wiz 680: IESG Internet Engineering Steering Group
681: IETF Internet Engineering Task Force
1.127 jruoho 682: IF intermediate frequency
1.108 jruoho 683: IFCM isochronous flow control mode
1.140 dholland 684: IFF Interchange File Format
1.195 sevan 685: IFS internal field separator
1.126 jruoho 686: IGD Internet gateway device
1.131 wiz 687: IGMP Internet Group Management Protocol
1.53 jmmv 688: IGP interior gateway protocol
1.120 jruoho 689: IHV independent hardware vendor
1.95 wiz 690: IKE Internet key exchange
1.121 jruoho 691: ILM internal loopback mode
1.140 dholland 692: ILOM integrated lights-out management
1.110 jruoho 693: ILP instruction level parallelism
1.120 jruoho 694: IM instant messaging
1.131 wiz 695: IMAP Internet Message Access Protocol
1.101 jruoho 696: IMC integrated memory controller
1.116 jruoho 697: IMCR interrupt mode configuration register
1.127 jruoho 698: IMR interrupt mask register
1.122 jruoho 699: IMS information management system
1.226 dholland 700: IMSI international mobile subscriber identity
1.131 wiz 701: INCITS InterNational Committee for Information Technology Standards
1.1 jmmv 702: IO input/output
1.9 keihan 703: IOCTL input/output control
1.236 sevan 704: IOM input/output managers
1.104 jruoho 705: IOMMU input/output memory management unit
1.146 plunky 706: IOT Internet of Things
1.96 dholland 707: IP Internet Protocol
1.94 jruoho 708: IP intellectual property
1.218 sevan 709: IPC instructions per cycle
1.70 wiz 710: IPC interprocess communication
1.236 sevan 711: IPCF interprocess communication facility
1.122 jruoho 712: IPE integrated programming environment
1.224 sevan 713: IPI intelligent peripheral interface
1.104 jruoho 714: IPI interprocessor interrupt
1.202 sevan 715: IPL Initial Program Load
1.204 sevan 716: IPL Interrupt Priority Level
1.199 ginsbach 717: IPMB Intelligent Platform Management Bus
718: IPMI Intelligent Platform Management Interface
1.131 wiz 719: IPNG Internet Protocol, Next Generation
720: IPS in-plane switching
1.94 jruoho 721: IPS intrusion prevention system
1.131 wiz 722: IPSEC Internet Protocol Security
723: IRC Internet Relay Chat
1.94 jruoho 724: IRDA infrared data association
1.9 keihan 725: IRQ interrupt request
1.116 jruoho 726: IRQL interrupt request level
1.127 jruoho 727: IRR interrupt request register
1.131 wiz 728: IRTF Internet Research Task Force
1.122 jruoho 729: IS information system
1.3 jmmv 730: ISA industry standard architecture
1.107 jruoho 731: ISA instruction set architecture
1.259 sevan 732: ISE instant secure erase
1.9 keihan 733: ISDN integrated services digital network
1.53 jmmv 734: ISI inter-symbol interference
1.245 sevan 735: ISL initial system load
1.131 wiz 736: ISM industrial, scientific, [and] medical
1.53 jmmv 737: ISN initial serial number
1.131 wiz 738: ISO International Standards Organization
739: ISOC Internet Society
1.95 wiz 740: ISP Internet service provider
1.131 wiz 741: ISR in-service register
1.100 jruoho 742: ISR interrupt service routine
743: IST interrupt stack table
1.120 jruoho 744: ISV independent software vendor
1.126 jruoho 745: IT information technology
1.131 wiz 746: ITB Intel Turbo Boost
1.164 jnemeth 747: ITIL information technology infrastructure library
1.199 ginsbach 748: ITP in-target probe
1.164 jnemeth 749: ITSM IT service management
1.122 jruoho 750: IV initialization vector
1.100 jruoho 751: IVT interrupt vector table
1.97 dholland 752: JBOD just a bunch of disks
1.238 uwe 753: JCL Job Control Language
1.122 jruoho 754: JFET junction [gate] field-effect transistor
1.94 jruoho 755: JIT just in time
1.131 wiz 756: JPEG Joint Photographic Experts Group
757: JRE Java Runtime Environment
1.199 ginsbach 758: JSON JavaScript Object Notation
1.94 jruoho 759: JTAG joint test action group
1.189 alnsn 760: KASLR kernel address space layout randomization
1.120 jruoho 761: KB keyboard
762: KB kilobyte
763: KBD keyboard
764: KBD kilobaud
1.199 ginsbach 765: KCS keyboard controller style
1.78 dholland 766: KLOC thousand lines of code
1.110 jruoho 767: KMS kernel-mode setting
1.69 elad 768: KPI kernel programming interface
1.12 wiz 769: KVA kernel virtual address
1.140 dholland 770: KVM kernel virtual machine
1.78 dholland 771: KVM kernel virtual memory
1.131 wiz 772: KVM keyboard, video, [and] mouse
1.247 sevan 773: L2CAP link layer control and adaptation protocol
1.96 dholland 774: LAMP Linux Apache MySQL {Perl,PHP,Python}
1.9 keihan 775: LAN local area network
1.105 jruoho 776: LAPIC local advanced programmable interrupt controller
1.122 jruoho 777: LAR load access rights
1.9 keihan 778: LBA logical block addressing
1.241 sevan 779: LBNF labeled backus-naur form
1.122 jruoho 780: LBS location-based service
1.19 jmmv 781: LCD liquid crystal display
1.53 jmmv 782: LCP link control protocol
1.122 jruoho 783: LDA local delivery agent
1.131 wiz 784: LDAP Lightweight Directory Access Protocol
1.122 jruoho 785: LDR light-dependent resistor
1.100 jruoho 786: LDT local descriptor table
1.110 jruoho 787: LE logical extent
1.30 jmmv 788: LED light emitting diode
1.223 sevan 789: LELL low energy link layer
1.121 jruoho 790: LER label edge router
1.120 jruoho 791: LF line feed
792: LF low frequency
1.105 jruoho 793: LFM lowest frequency mode
1.121 jruoho 794: LFN long file names
1.122 jruoho 795: LFO low-frequency oscillation
1.98 dholland 796: LFS log-structured file system
1.102 jruoho 797: LFU least frequently used
1.127 jruoho 798: LHP loop heat pipe
1.245 sevan 799: LIF logical interchange format
1.121 jruoho 800: LIFO last in, first out
1.131 wiz 801: LILO LInux LOader
1.121 jruoho 802: LILO last in, last out
1.108 jruoho 803: LINT local interrupt
1.95 wiz 804: LIR local Internet registry
1.211 sevan 805: LISP LISt Processor
806: LISP Locator/ID Separation Protocol
1.131 wiz 807: LKM {Linux,loadable} kernel module
1.95 wiz 808: LKML Linux kernel mailing list
1.122 jruoho 809: LL load linked
1.131 wiz 810: LL/SC load linked/store conditional
1.53 jmmv 811: LLC logical link control
1.243 sevan 812: LLD low-level design
813: LLDD low-level design document
1.148 ginsbach 814: LLDP link layer discovery protocol
1.121 jruoho 815: LLF low level format
1.122 jruoho 816: LLMNR link-local multicast name resolution
1.117 jruoho 817: LLVM Low Level Virtual Machine
1.122 jruoho 818: LM long mode
1.252 sevan 819: LMI local management interface
1.121 jruoho 820: LMM link management mode
1.223 sevan 821: LMP link management protocol
1.121 jruoho 822: LNO loop nest optimization
1.78 dholland 823: LOC lines of code
1.140 dholland 824: LOM lights-out management
1.218 sevan 825: LPE Linux performance events
1.101 jruoho 826: LPC low pin count
1.122 jruoho 827: LPS local positioning system
1.48 jmmv 828: LRC longitudinal redundancy check
1.121 jruoho 829: LRM left-to-right mark
830: LRO left-to-right override
1.102 jruoho 831: LRU least recently used
1.260 sevan 832: LS link state
1.209 kamil 833: LSAN Leak Sanitizer
1.95 wiz 834: LSB Linux standards base
1.131 wiz 835: LSB least significant {bit,byte}
1.78 dholland 836: LSI large scale integration
1.122 jruoho 837: LSL load segment limit
1.215 ginsbach 838: LSM log structured merge
1.131 wiz 839: LSN Large Scale NAT
1.140 dholland 840: LSN log sequence number
1.121 jruoho 841: LSR label switch router
1.122 jruoho 842: LTCC low temperature co-fired ceramic
1.193 ginsbach 843: LTO linear tape-open
1.150 dholland 844: LTO link time optimization
1.120 jruoho 845: LTR left to right
1.100 jruoho 846: LTR load task register
1.140 dholland 847: LTR letter(-sized paper)
1.157 jnemeth 848: LTS long term support
1.152 mbalmer 849: LUA Lua Uppercase Accident
1.9 keihan 850: LUN logical unit number
1.94 jruoho 851: LV logical volume
852: LVM logical volume management
1.108 jruoho 853: LVT local vector table
1.208 sevan 854: LVDS Low-Voltage Differential Signaling
1.122 jruoho 855: LWP light-weight process
1.244 sevan 856: LZSS Lempel Ziv Storer Szymanski
1.49 wiz 857: LZW Lempel Ziv Welch
1.131 wiz 858: MAC mandatory access control
1.179 ginsbach 859: MAC {media,medium} access control
1.140 dholland 860: MAC message authentication {check,code}
1.105 jruoho 861: MADT multiple APIC descriptor table
1.263 pgoyette 862: MAMR microwave-assisted magnetic recording
1.120 jruoho 863: MB megabyte
1.155 jnemeth 864: MBA multi-boot agent
1.9 keihan 865: MBR master boot record
1.120 jruoho 866: MBS megabits per second
1.108 jruoho 867: MC memory controller
1.104 jruoho 868: MCA machine check architecture
1.140 dholland 869: MCA MicroChannel architecture
1.117 jruoho 870: MCC multiversion concurrency control
1.104 jruoho 871: MCE machine check exception
1.138 jdf 872: MCGA Multi-Color Graphics Array
1.101 jruoho 873: MCH memory controller hub
1.122 jruoho 874: MCM multi-chip module
1.108 jruoho 875: MCQ memory controlled queue
1.121 jruoho 876: MD machine-dependent
1.199 ginsbach 877: MD-SAL model-driven service abstraction layer
1.94 jruoho 878: MDA mail delivery agent
1.138 jdf 879: MDA Monochrome Display Adapter
1.205 sevan 880: MDC Management Data Clock
881: MDI Medium Dependent Interface
882: MDI-X Medium Dependent Interface Crossover
883: MDIO Management Data Input/Output
1.202 sevan 884: MDMX MIPS Digital Media eXtension
1.16 jmmv 885: MDRAM multibank dynamic random access memory
1.121 jruoho 886: MESI modified, exclusive, shared, invalid
1.75 reed 887: MFC merge from current
1.140 dholland 888: MFC Microsoft Foundation Classes
1.51 jmmv 889: MFM modified frequency modulation
1.229 sevan 890: MFU most frequently used
1.252 sevan 891: MGCP media gateway control protocol
1.121 jruoho 892: MI machine-independent
1.236 sevan 893: MI machine interface
1.110 jruoho 894: MIB management information base
1.140 dholland 895: MIC message integrity {check,code}
1.131 wiz 896: MID mobile Internet device
1.29 jmmv 897: MIDI musical instrument digital interface
1.110 jruoho 898: MIF management information format
1.180 ginsbach 899: MII media independent interface
1.139 agc 900: MIM man in the middle
1.131 wiz 901: MIMD multiple instruction, multiple data
902: MIME Multipurpose Internet Mail Extensions
1.94 jruoho 903: MIMO multiple input multiple output
1.9 keihan 904: MIPS million instructions per second
1.203 maya 905: MIPS Microprocessor without Interlocked Pipeline Stages
1.120 jruoho 906: MISD multiple instruction, single data
1.95 wiz 907: MIT Massachusetts Institute of Technology
1.139 agc 908: MITM man in the middle
1.117 jruoho 909: ML machine language
910: ML machine learning
1.131 wiz 911: ML mailing list
1.117 jruoho 912: ML markup language
913: ML maximum likelihood
1.110 jruoho 914: MLC multi-level cell
1.162 riastrad 915: MLD multicast listener discovery
1.127 jruoho 916: MLHP micro loop heat pipe
1.173 ginsbach 917: MMF multi-mode fiber
1.122 jruoho 918: MMIC monolithic microwave integrated circuit
1.105 jruoho 919: MMIO memory mapped input/output
1.149 rodent 920: MMORPG massive multiplayer online role playing game
1.27 jschauma 921: MMU memory management unit
1.131 wiz 922: MMX matrix math extension
1.104 jruoho 923: MMX multimedia extension
924: MMX multiple math extension
1.122 jruoho 925: MO magneto-optical
1.121 jruoho 926: MOESI modified, owned, exclusive, shared, invalid
927: MOF maximum operating frequency
1.122 jruoho 928: MOSFET metal-oxide-semiconductor field-effect transistor
1.121 jruoho 929: MOSI modified, owned, shared, invalid
1.116 jruoho 930: MP multi-platform
931: MP multiplayer
1.131 wiz 932: MP {multiprocessing,multiprocessor}
1.32 jmmv 933: MPEG moving picture experts group
1.120 jruoho 934: MPI message passing interface
1.131 wiz 935: MPLS Multiprotocol Label Switching
1.120 jruoho 936: MPP massively parallel processor
1.131 wiz 937: MPS memory pool system
1.105 jruoho 938: MPS multiprocessor specification
1.199 ginsbach 939: MQTT Message Queuing Telemetry Transport
1.121 jruoho 940: MR modem ready
1.264 sevan 941: MRO method resolution order
1.102 jruoho 942: MRU most recently used
1.120 jruoho 943: MS Microsoft
1.209 kamil 944: MSAN Memory Sanitizer
1.131 wiz 945: MSB most significant {bit,byte}
1.215 ginsbach 946: MSC message sequence chart
1.122 jruoho 947: MSE mean square error
1.48 jmmv 948: MSF minutes seconds frames
1.100 jruoho 949: MSI message signaled interrupt
1.121 jruoho 950: MSI modified, shared, invalid
1.199 ginsbach 951: MSR {machine state,model-specific} register
1.53 jmmv 952: MSS maximum segment size
1.167 ginsbach 953: MSTP Multiple Spanning Tree Protocol
1.120 jruoho 954: MT machine translation
1.14 keihan 955: MTA mail transfer agent
1.120 jruoho 956: MTBF mean time between failures
1.149 rodent 957: MTP media transfer protocol
1.104 jruoho 958: MTRR memory type range register
1.120 jruoho 959: MTTF mean time to failure
1.14 keihan 960: MTU maximum transmission unit
961: MUA mail user agent
1.121 jruoho 962: MUD multi-user domain
1.119 dholland 963: MVCC multiversion concurrency control
1.48 jmmv 964: MWE module width encoding
1.120 jruoho 965: MX mail exchange
966: NACK negative acknowledgement
1.232 sevan 967: NAK negative acknowledgement
1.120 jruoho 968: NAN not a number
1.131 wiz 969: NAPT Network Address Port Translation
1.97 dholland 970: NAS network attached storage
1.5 jmmv 971: NAT network address translation
1.53 jmmv 972: NAV network allocation vector
1.120 jruoho 973: NC numerical control
1.244 sevan 974: NC-SI network controller sideband interface
1.122 jruoho 975: NCO numerically-controlled oscillator
1.131 wiz 976: NCP Network Control Protocol
1.244 sevan 977: NCSI network connectivity status indicator
1.72 hubertf 978: NCQ native command queuing
1.122 jruoho 979: ND neighbor discovery
1.140 dholland 980: NDFA nondeterministic finite automaton
1.122 jruoho 981: NE numeric error
1.206 sevan 982: NEWS Network extensible Window System
1.117 jruoho 983: NFA nondeterministic finite automaton
1.158 pgoyette 984: NFC near-field communication
1.171 riastrad 985: NFCI no functional change intended
1.36 grant 986: NFS network file system
1.190 ginsbach 987: NFV network function virtualization
1.121 jruoho 988: NIA next instruction address
1.140 dholland 989: NIC network information center
1.11 keihan 990: NIC network interface card
1.131 wiz 991: NIDS network intrusion detection system
1.121 jruoho 992: NIP network interface protocol
1.131 wiz 993: NIPS network intrusion prevention system
1.10 keihan 994: NIS network information service
1.172 riastrad 995: NIST National Institute of Standards and Technology
1.94 jruoho 996: NLS native language support
1.96 dholland 997: NMI non-maskable interrupt
1.131 wiz 998: NNTP Network News Transfer Protocol
1.140 dholland 999: NOC network operations center
1.94 jruoho 1000: NOP no operation
1.140 dholland 1001: NOS network operating system
1.117 jruoho 1002: NP nondeterministic polynomial time
1.225 htodd 1003: NRND not recommended for new designs
1.49 wiz 1004: NRZ non-return to zero
1.172 riastrad 1005: NSA nation-state adversary
1006: NSA no such agency
1.96 dholland 1007: NSA National Security Agency
1.117 jruoho 1008: NTM non-deterministic Turing machine
1.131 wiz 1009: NTP Network Time Protocol
1.96 dholland 1010: NUMA non-uniform memory access
1.246 sevan 1011: NVMM NetBSD Virtual Machine Monitor
1012: NVMM non-volatile main memory
1.96 dholland 1013: NVRAM non-volatile random access memory
1.122 jruoho 1014: NW not write-through
1.104 jruoho 1015: NX no execute
1.110 jruoho 1016: OAEP optimal asymmetric encryption padding
1.199 ginsbach 1017: OAM operations, administration, and {management,maintenance}
1.105 jruoho 1018: OBO off by one
1019: OBOE off by one error
1.56 jmmv 1020: OCL object constraint language
1.48 jmmv 1021: OCR optical character recognition
1.245 sevan 1022: ODE offline device environment
1.244 sevan 1023: ODM object data manager
1.105 jruoho 1024: ODCM on-demand clock modulation
1.121 jruoho 1025: ODT on-die termination
1.30 jmmv 1026: OEM original equipment manufacturer
1.172 riastrad 1027: OFB output feedback
1.53 jmmv 1028: OFDM orthogonal frequency division multiplexing
1.122 jruoho 1029: OFET organic field-effect transistor
1.140 dholland 1030: OLAP online analytical processing
1.126 jruoho 1031: OLE object linking and embedding
1.140 dholland 1032: OLTP online transaction processing
1.109 ahoka 1033: ONFI open nand flash interface
1.131 wiz 1034: OO OpenOffice
1.94 jruoho 1035: OO object oriented
1.87 dholland 1036: OOB out of band
1.108 jruoho 1037: OOE out of order execution
1.92 dholland 1038: OOM out of memory
1.131 wiz 1039: OOO OpenOffice.org
1.94 jruoho 1040: OOP object oriented programming
1.140 dholland 1041: OOPS object oriented programming systems
1.117 jruoho 1042: OOSE object oriented software engineering
1.131 wiz 1043: OPM operations per minute
1.121 jruoho 1044: OPS operations per second
1.138 jdf 1045: OQL Object Query Language
1.140 dholland 1046: ORB object request broker
1.107 jruoho 1047: ORM object-relational mapping
1.78 dholland 1048: OS operating system
1.89 dholland 1049: OSD open source definition
1.35 grant 1050: OSF open software foundation
1.131 wiz 1051: OSI Open Source Initiative
1.39 jschauma 1052: OSI open systems interconnection
1.72 hubertf 1053: OSPF open shortest path first
1.121 jruoho 1054: OSPM OS power management
1.131 wiz 1055: OSS open sound system
1.89 dholland 1056: OSS open source software
1.106 cegger 1057: OSVW operating system visible workarounds
1.27 jschauma 1058: OTP one time password
1.133 ginsbach 1059: OTP open telecom platform
1.122 jruoho 1060: OU organizational unit
1.94 jruoho 1061: OUI organizationally unique identifier
1.131 wiz 1062: OWL Web Ontology Language
1063: P2P PCI to PCI
1.94 jruoho 1064: P2P peer to peer
1.131 wiz 1065: PA phase accumulator
1.78 dholland 1066: PA physical address
1.190 ginsbach 1067: PAAS platform as a service
1.122 jruoho 1068: PAC phase-amplitude converter
1.79 dholland 1069: PAE physical address extension
1.131 wiz 1070: PAL phase alternating line
1.126 jruoho 1071: PAL programmable array logic
1.34 keihan 1072: PAM pluggable authentication modules
1.48 jmmv 1073: PAM pulse amplitude modulation
1.110 jruoho 1074: PAP password authentication protocol
1.106 cegger 1075: PAT page attribute table
1.53 jmmv 1076: PAT port address translation
1.94 jruoho 1077: PATA parallel ATA
1.10 keihan 1078: PAX portable archive exchange
1.104 jruoho 1079: PBE pending break encoding
1080: PBE programming by example
1.48 jmmv 1081: PC personal computer
1.127 jruoho 1082: PC program counter
1.110 jruoho 1083: PCB printed circuit board
1.169 kamil 1084: PCB process control block
1.216 sevan 1085: PCB Protocol Control Block
1.96 dholland 1086: PCC Portable C Compiler
1.122 jruoho 1087: PCD page-level cache disable
1.199 ginsbach 1088: PCE path computation element
1.122 jruoho 1089: PCE performance-monitor counter enable
1.199 ginsbach 1090: PCEP Path Computation Element Protocol
1091: PCH platform controller hub
1.1 jmmv 1092: PCI peripheral component interconnect
1.124 dholland 1093: PCM phase change memory
1.48 jmmv 1094: PCM pulse code modulation
1.131 wiz 1095: PCMCIA Personal Computer Memory Card International Association
1.147 ginsbach 1096: PCP Port Control Protocol
1.120 jruoho 1097: PD public domain
1.94 jruoho 1098: PDA personal digital assistant
1.117 jruoho 1099: PDA pushdown automaton
1.122 jruoho 1100: PDAG propositional directed acyclic graph
1101: PDB power distribution board
1.94 jruoho 1102: PDF portable document format
1.122 jruoho 1103: PDM pulse-duration modulation
1.110 jruoho 1104: PDN pull-down network
1.140 dholland 1105: PDP page {descriptor,directory} page
1.121 jruoho 1106: PDS product data sheet
1.53 jmmv 1107: PDU protocol data unit
1.122 jruoho 1108: PE protection enable
1.252 sevan 1109: PE provider edge
1.166 jnemeth 1110: PEAP Protected EAP
1.127 jruoho 1111: PECI platform environment control interface
1.122 jruoho 1112: PEP protocol extension protocol
1.55 kleink 1113: PER packed encoding rules
1.64 elad 1114: PFS perfect forward secrecy
1.121 jruoho 1115: PGAS partitioned global address space
1.122 jruoho 1116: PGE page global enable
1.131 wiz 1117: PGP Pretty Good Privacy
1.94 jruoho 1118: PHB PCI host bridge
1.131 wiz 1119: PHP PHP: Hypertext Preprocessor
1.218 sevan 1120: PIC performance instrumentation counters
1.131 wiz 1121: PIC position independent code
1.18 jmmv 1122: PIC programmable interrupt controller
1.136 pgoyette 1123: PICNIC problem in chair, not in computer
1.10 keihan 1124: PID process id
1.77 dholland 1125: PIE position independent executable
1.183 kamil 1126: PIL Priority Interrupt Level
1.121 jruoho 1127: PIM personal information manager
1.122 jruoho 1128: PIM platform-independent model
1.18 jmmv 1129: PIO programmed input/output
1.121 jruoho 1130: PIPT physically indexed, physically tagged
1.126 jruoho 1131: PIQ prefetch input queue
1132: PIT programmable interrupt timer
1.122 jruoho 1133: PIV personal identity verification
1.202 sevan 1134: PIX Private Internet eXchange
1.131 wiz 1135: PKCS public-key cryptography standards
1.94 jruoho 1136: PKI public key infrastructure
1.120 jruoho 1137: PLC programmable logic controller
1.126 jruoho 1138: PLD programmable logic device
1.54 jmmv 1139: PLL phase locked loop
1.121 jruoho 1140: PLRU pseudo LRU
1.122 jruoho 1141: PLTM package level thermal management
1.121 jruoho 1142: PM power management
1.131 wiz 1143: PM {phase,pulse} modulation
1.218 sevan 1144: PMC performance monitoring counters
1.120 jruoho 1145: PME power management event
1.227 sevan 1146: PMEG page map entry group
1.218 sevan 1147: PMI performance monitoring infrastructure
1.231 sevan 1148: PMI platform management interrupt
1.230 sevan 1149: PMIC power management integrated circut
1.107 jruoho 1150: PMIO port-mapped input/output
1.48 jmmv 1151: PMT photo-multiplier tube
1.218 sevan 1152: PMU performance monitoring units
1.121 jruoho 1153: PMU power management unit
1.1 jmmv 1154: PNG portable network graphics
1.120 jruoho 1155: PNP plug and play
1.94 jruoho 1156: POE power over ethernet
1.122 jruoho 1157: POF probability of failure
1.131 wiz 1158: POP Post Office Protocol
1.121 jruoho 1159: POP power on password
1.131 wiz 1160: POSIX Portable Operating System Interface [for Unix]
1.19 jmmv 1161: POST power on self test
1.42 simonb 1162: POTS plain old telephone system
1.200 sevan 1163: POWER Performance Optimization With Enhanced RISC
1.201 sevan 1164: POWERPC Performance Optimization With Enhanced RISC - Performance Computing
1.122 jruoho 1165: PP pair programming
1.131 wiz 1166: PPB PCI [to] PCI bridge
1.96 dholland 1167: PPC PowerPC
1.200 sevan 1168: PPC Power Performance Computing
1.120 jruoho 1169: PPI pixels per inch
1.121 jruoho 1170: PPM pages per minute
1.131 wiz 1171: PPP Point-to-Point Protocol
1172: PPPOA Point-to-Point Protocol over ATM
1173: PPPOE Point-to-Point Protocol over Ethernet
1.110 jruoho 1174: PPU physics processing unit
1.206 sevan 1175: PRAM Parameter RAM
1.172 riastrad 1176: PRBS pseudorandom bit sequence
1177: PRG pseudorandom generator
1178: PRF pseudorandom function [family]
1.51 jmmv 1179: PRML partial response, maximum likelihood
1.172 riastrad 1180: PRN pseudorandom {noise,number}
1181: PRNG pseudorandom number generator
1.16 jmmv 1182: PROM programmable read only memory
1.172 riastrad 1183: PRP pseudorandom permutation
1.202 sevan 1184: PRU Programmable Realtime Unit
1.206 sevan 1185: PS PostScript
1.122 jruoho 1186: PSD power spectral density
1.104 jruoho 1187: PSE page size extensions
1.131 wiz 1188: PSK phase shift keying
1.71 salo 1189: PSK pre-shared key
1.70 wiz 1190: PSTN public switched telephone network
1.120 jruoho 1191: PSW program status word
1.12 wiz 1192: PTE page table entry
1.24 keihan 1193: PTLA pseudo top level aggregator
1.12 wiz 1194: PTP page table page
1.122 jruoho 1195: PTV perceived target value
1.120 jruoho 1196: PU processing unit
1.226 dholland 1197: PUC personal unblocking code
1.121 jruoho 1198: PUN physical unit number
1.110 jruoho 1199: PV physical volume
1.252 sevan 1200: PVC permanent virtual circuit
1.110 jruoho 1201: PVG physical volume group
1.122 jruoho 1202: PVI protected-mode virtual interrupt
1.167 ginsbach 1203: PVST Per-VLAN Spanning Tree
1.48 jmmv 1204: PWM pulse width modulation
1.94 jruoho 1205: PXE preboot execution environment
1206: QA quality assurance
1.131 wiz 1207: QAM quadrature amplitude modulation
1.122 jruoho 1208: QAM quality assurance management
1.121 jruoho 1209: QBE query by example
1210: QC quality control
1.122 jruoho 1211: QDI quasi delay insensitive
1.222 sevan 1212: QCIF quarter common intermediate format
1.47 grant 1213: QOS quality of service
1.176 dholland 1214: QVL qualified vendor list
1.140 dholland 1215: R/O read only
1216: R/W read/write
1.122 jruoho 1217: RA receiver address
1218: RA remote assistance
1.131 wiz 1219: RA resource affinity
1.122 jruoho 1220: RA router advertisement
1.120 jruoho 1221: RAD rapid application development
1.138 jdf 1222: RAID redundant array of {independent,inexpensive} disks
1.9 keihan 1223: RAM random access memory
1.143 ginsbach 1224: RAS reliability, availability and serviceability
1.131 wiz 1225: RAS remote access service
1.79 dholland 1226: RAS restartable atomic sequence
1.109 ahoka 1227: RAS row address strobe
1.122 jruoho 1228: RBF radial basis function
1.240 sevan 1229: RBNF routing backus-naur form
1.122 jruoho 1230: RBT red-black tree
1.110 jruoho 1231: RC release candidate
1232: RC remote control
1.204 sevan 1233: RC run commands
1.131 wiz 1234: RCS Revision Control System
1.10 keihan 1235: RCS revision control system
1.122 jruoho 1236: RCU read, copy, update
1.140 dholland 1237: RDB Rigid Disk Block
1238: RDBMS relational database management system
1.138 jdf 1239: RDF Resource Description Framework
1.120 jruoho 1240: RDM relational data model
1241: RDMA remote direct memory access
1.208 sevan 1242: RDRAM Rambus DRAM
1.126 jruoho 1243: RE regular expression
1.109 ahoka 1244: REST representational state transfer
1.121 jruoho 1245: RF radio frequency
1.122 jruoho 1246: RFI radio frequency interference
1.121 jruoho 1247: RFO request for ownership
1.249 sevan 1248: RFU reserved for future use
1.24 keihan 1249: RGB red green blue
1.140 dholland 1250: RGBA red green blue alpha
1.180 ginsbach 1251: RGMII reduced gigabit media independent interface
1.121 jruoho 1252: RH read hit
1.140 dholland 1253: RHEL Red Hat Enterprise Linux
1.95 wiz 1254: RHL Red Hat Linux
1.122 jruoho 1255: RIB routing information base
1.59 grant 1256: RIFF Resource Interchange File Format
1.206 sevan 1257: RIP Raster Image Processor
1.131 wiz 1258: RIP Routing Information Protocol
1.95 wiz 1259: RIR regional Internet registry
1.140 dholland 1260: RISC reduced instruction set {computer,computing}
1.113 dholland 1261: RKM ROM Kernel Manual
1.48 jmmv 1262: RLE run length encoding
1.51 jmmv 1263: RLL run length limited
1.121 jruoho 1264: RLO right-to-left override
1265: RM read miss
1.215 ginsbach 1266: RMCP Remote Management Control Protocol
1.126 jruoho 1267: RMI remote method invocation
1.181 kamil 1268: RMO Relaxed Memory Ordering
1.122 jruoho 1269: RMPM rapid memory power management
1270: RMS root mean square
1271: RMW read, modify, write
1.88 tsutsui 1272: RNG random number generator
1.9 keihan 1273: ROM read only memory
1.78 dholland 1274: RPC remote procedure call
1.165 jnemeth 1275: RPKI resource PKI
1.110 jruoho 1276: RPL requested privilege level
1.1 jmmv 1277: RPM revolutions per minute
1.140 dholland 1278: RPN reverse Polish notation
1.167 ginsbach 1279: RPVST Rapid Per-VLAN Spanning Tree
1.131 wiz 1280: RR random replacement
1.126 jruoho 1281: RR round robin
1.122 jruoho 1282: RS relay station
1.131 wiz 1283: RSA Rivest, Shamir, [and] Adleman
1284: RSIP Realm Specific IP
1.166 jnemeth 1285: RSN Robust Secure Network
1.98 dholland 1286: RSS really simple syndication
1.117 jruoho 1287: RSS residual sum of squares
1.167 ginsbach 1288: RSTP Rapid Spanning Tree Protocol
1.104 jruoho 1289: RT real time
1.94 jruoho 1290: RTC real time clock
1.104 jruoho 1291: RTC real time computing
1.9 keihan 1292: RTF rich text format
1.140 dholland 1293: RTL register transfer language
1.110 jruoho 1294: RTL resistor-transistor logic
1.140 dholland 1295: RTL right to left
1.94 jruoho 1296: RTOS real time operating system
1.131 wiz 1297: RTS request to send
1.141 dholland 1298: RTT round trip time
1.117 jruoho 1299: RUP rational unified process
1.172 riastrad 1300: RW Rabin-Williams
1.121 jruoho 1301: RWM read-write memory
1.131 wiz 1302: RX {receive,receiver}
1303: S/PDIF Sony/Philips Digital Interface Format
1.124 dholland 1304: SA security advisory
1305: SA security association
1.122 jruoho 1306: SA source address
1307: SA structured analysis
1.120 jruoho 1308: SAAS software as a service
1.32 jmmv 1309: SACD super audio compact disc
1.65 elad 1310: SAD security association database
1.199 ginsbach 1311: SAL {service,system} abstraction layer
1.16 jmmv 1312: SAM serial access memory
1.121 jruoho 1313: SAM sum addressed memory
1.215 ginsbach 1314: SAML security assertion markup language
1.94 jruoho 1315: SAN storage area network
1.167 ginsbach 1316: SAP service access point
1.105 jruoho 1317: SAPIC streamlined advanced programmable interrupt controller
1.122 jruoho 1318: SASD structured analysis, structured design
1.131 wiz 1319: SASI Shugart Associates System Interface
1.126 jruoho 1320: SASL simple authentication [and] security layer
1.9 keihan 1321: SATA serial advanced technology attachment
1.199 ginsbach 1322: SAX simple API for XML
1.72 hubertf 1323: SB sound blaster
1.120 jruoho 1324: SBU standard build unit
1.122 jruoho 1325: SC store conditional
1326: SCA source code analyzer
1.123 ginsbach 1327: SCADA supervisory control and data acquisition
1.122 jruoho 1328: SCC single chip cloud
1329: SCC source code control
1.131 wiz 1330: SCCS Source Code Control System
1331: SCI scalable coherent interface
1332: SCI system control interrupt
1.70 wiz 1333: SCM software configuration management
1334: SCM source code management
1.140 dholland 1335: SCM storage-class memory
1.223 sevan 1336: SCO synchronous connection orientated
1.94 jruoho 1337: SCP secure copy
1.131 wiz 1338: SCSI Small Computer System Interface
1339: SCTP Stream Control Transmission Protocol
1.199 ginsbach 1340: SDEI software delegated exception interface
1.127 jruoho 1341: SDI symbol deinterleave
1.94 jruoho 1342: SDK software development kit
1.131 wiz 1343: SDL Simple Declarative Language
1.140 dholland 1344: SDL Simple Direct-media Layer
1.186 ginsbach 1345: SDLC {software,system,systems} development life cycle
1.190 ginsbach 1346: SDN software defined networking
1.219 ginsbach 1347: SDP Session Description Protocol
1.16 jmmv 1348: SDRAM synchronous dynamic random access memory
1.190 ginsbach 1349: SDS software defined storage
1.117 jruoho 1350: SDT syntax-directed translation
1.199 ginsbach 1351: SEA synchronous external abort
1.113 dholland 1352: SEGV segmentation violation
1.258 sevan 1353: SED self-encrypting drive
1354: SED stream editor
1.131 wiz 1355: SEO search engine optimization
1.228 sevan 1356: SES SCSI enclosure services
1.131 wiz 1357: SFC sequential function chart
1.104 jruoho 1358: SFI simple firmware interface
1.140 dholland 1359: SFI software fault isolation
1.131 wiz 1360: SFTP SSH File Transfer Protocol
1361: SFTP Serial File Transfer Protocol
1362: SFTP Simple File Transfer Protocol
1.180 ginsbach 1363: SGMII serial gigabit media independent interface
1.16 jmmv 1364: SGRAM synchronous graphics random access memory
1.172 riastrad 1365: SHA secure hash algorithm
1366: SIDH supersingular isogeny Diffie-Hellman
1.164 jnemeth 1367: SIEM security information and event management
1.222 sevan 1368: SIF source input format
1.53 jmmv 1369: SIFS short inter-frame space
1.226 dholland 1370: SIM subscriber identity module
1.120 jruoho 1371: SIMD single instruction, multiple data
1.94 jruoho 1372: SIMM single inline memory module
1.131 wiz 1373: SIP Session Initiation Protocol
1374: SIR signal-to-interference ratio
1.57 wiz 1375: SIR slow infrared
1.120 jruoho 1376: SISD single instruction, single data
1.199 ginsbach 1377: SLAAC stateless address auto-configuration
1.110 jruoho 1378: SLC single-level cell
1.16 jmmv 1379: SLDRAM synchronous-link dynamic random access memory
1.140 dholland 1380: SLED single large expensive disk
1.110 jruoho 1381: SLI scalable link interface
1382: SLI scan-line interleave
1.236 sevan 1383: SLIC system license internal code
1.120 jruoho 1384: SLOC source lines of code
1.121 jruoho 1385: SLRU segmented LRU
1.122 jruoho 1386: SMA smart memory access
1.121 jruoho 1387: SMAF system management action field
1.164 jnemeth 1388: SMAP Simple Mail Access Protocol
1389: SMAP supervisor mode access prevention
1.28 sketch 1390: SMART self-monitoring analysis and reporting technology
1.199 ginsbach 1391: SMB Server Message Block
1392: SMB system management bus
1.138 jdf 1393: SMBIOS System Management BIOS
1.121 jruoho 1394: SMC system management controller
1.224 sevan 1395: SMD storage module device
1.193 ginsbach 1396: SMEP supervisor mode execution protection
1.173 ginsbach 1397: SMF single mode fiber
1.131 wiz 1398: SMI structure of management information
1.100 jruoho 1399: SMI system management interrupt
1.104 jruoho 1400: SMM system management mode
1.257 sevan 1401: SMP serial management protocol
1.7 jschauma 1402: SMP symmetric multiprocessing
1.102 jruoho 1403: SMT simultaneous multithreading
1.131 wiz 1404: SMTP Simple Mail Transfer Protocol
1.121 jruoho 1405: SMU system management unit
1.233 sevan 1406: SNA systems network architecture
1.167 ginsbach 1407: SNAP Sub-Network Access Protocol
1.199 ginsbach 1408: SNIA Storage Networking Industry Association
1.131 wiz 1409: SNMP Simple Network Management Protocol
1410: SNR signal-to-noise ratio
1.94 jruoho 1411: SOA service oriented architecture
1.131 wiz 1412: SOAP Simple Object Access Protocol
1.122 jruoho 1413: SOC system on chip
1.127 jruoho 1414: SOF start of frame
1.140 dholland 1415: SOH start of header
1.131 wiz 1416: SOHO small office/home office
1.120 jruoho 1417: SOL serial over LAN
1.235 sevan 1418: SOM system on module
1.131 wiz 1419: SP service pack
1.102 jruoho 1420: SP stack pointer
1.220 sevan 1421: SPA storage pool allocator
1.94 jruoho 1422: SPARC scalable processor architecture
1.131 wiz 1423: SPARQL SPARQL Protocol and RDF Query Language
1.65 elad 1424: SPD security policy database
1.18 jmmv 1425: SPD serial presence detect
1.131 wiz 1426: SPDIF Sony/Philips digital interface format
1.94 jruoho 1427: SPI serial peripheral interface
1428: SPI stateful packet inspection
1.182 kamil 1429: SPL system interrupt priority level
1430: SPL spinlock
1.120 jruoho 1431: SPMD single process, multiple data
1432: SPR special purpose register
1.121 jruoho 1433: SPT sectors per track
1.122 jruoho 1434: SQA software quality assurance
1.222 sevan 1435: SQCIF sub quarter common intermediate format
1.131 wiz 1436: SQL Structured Query Language
1.16 jmmv 1437: SRAM static random access memory
1.121 jruoho 1438: SRC sample rate conversion
1.228 sevan 1439: SRP SCSI RDMA protocol
1.131 wiz 1440: SS self-snoop
1.104 jruoho 1441: SS stack segment
1.175 kamil 1442: SSA static single assignment
1.167 ginsbach 1443: SSAP source service access point
1.122 jruoho 1444: SSB single-sideband modulation
1.94 jruoho 1445: SSD solid state drive
1446: SSE streaming SIMD extensions
1.48 jmmv 1447: SSFDC solid state floppy disc card
1.9 keihan 1448: SSH secure shell
1.120 jruoho 1449: SSI server side includes
1.135 jdf 1450: SSID service set identifier
1.9 keihan 1451: SSL secure sockets layer
1.94 jruoho 1452: SSP stack smashing protection
1.122 jruoho 1453: STC software thermal control
1454: STD state transition diagram
1.215 ginsbach 1455: STOMP Streaming Text Oriented Messaging Protocol
1.131 wiz 1456: STP Spanning Tree Protocol
1.40 keihan 1457: STP shielded twisted pair
1.227 sevan 1458: SUN Stanford University Network
1.96 dholland 1459: SUS Single Unix Specification
1.126 jruoho 1460: SUT system under test
1.252 sevan 1461: SVC switched virtual circuit
1.94 jruoho 1462: SVG scalable vector graphics
1.138 jdf 1463: SVGA Super Video Graphics Array
1.199 ginsbach 1464: SVI {switch,switched} virtual interface
1.104 jruoho 1465: SVM secure virtual machine
1466: SVM support vector machine
1.127 jruoho 1467: SVR System V Release
1.188 pgoyette 1468: SVS Separate Virtual Space
1.96 dholland 1469: SWF Shockwave Flash
1.126 jruoho 1470: SWIG simplified wrapper [and] interface generator
1.127 jruoho 1471: SYR symbol timing recovery
1.131 wiz 1472: TA test assertion
1.122 jruoho 1473: TA transmitter address
1474: TAI international atomic time
1.120 jruoho 1475: TAO track at once
1.121 jruoho 1476: TAOCP The Art of Computer Programming
1.131 wiz 1477: TAP Test Anything Protocol
1.122 jruoho 1478: TAS test and set
1479: TB terabyte
1.241 sevan 1480: TBNF transitional backus-naur form
1.121 jruoho 1481: TCB trace capture buffer
1.78 dholland 1482: TCB trusted computing base
1.105 jruoho 1483: TCC thermal control circuit
1.9 keihan 1484: TCL tool command language
1.131 wiz 1485: TCP Transmission Control Protocol
1.72 hubertf 1486: TCQ tagged command queueing
1.122 jruoho 1487: TDC time [to] digital converter
1.215 ginsbach 1488: TDD test-driven development
1.126 jruoho 1489: TDD time division duplex
1.122 jruoho 1490: TDM time division multiplexing
1.126 jruoho 1491: TDMA time division multiple access
1.122 jruoho 1492: TDOA time difference of arrival
1.131 wiz 1493: TDP thermal design {point,power}
1.117 jruoho 1494: TECO Text Editor and Corrector
1.19 jmmv 1495: TFT thin film transistor
1.131 wiz 1496: TFTP Trivial File Transfer Protocol
1.85 spz 1497: TIAS try it and see
1.33 grant 1498: TIFF tagged image file format
1.85 spz 1499: TITS try it to see
1.122 jruoho 1500: TK temporal key
1.135 jdf 1501: TKIP Temporal Key Integrity Protocol
1.24 keihan 1502: TLA top level aggregator
1.141 dholland 1503: TLB translation lookaside buffer
1.10 keihan 1504: TLD top level domain
1.256 sevan 1505: TLER time-limited error recovery
1.233 sevan 1506: TLI transport layer interface
1.131 wiz 1507: TLS thread local storage
1.61 jschauma 1508: TLS transport layer security
1.117 jruoho 1509: TM Turing machine
1.122 jruoho 1510: TM thermal monitor
1.251 sevan 1511: TMG transmogrifier
1.19 jmmv 1512: TMDS transition minimized differential signaling
1.110 jruoho 1513: TMO timeout
1.121 jruoho 1514: TOD time of day
1.94 jruoho 1515: TOS terms of service
1.131 wiz 1516: TOS trusted operating system
1.97 dholland 1517: TOS type of service
1.117 jruoho 1518: TP transaction processing
1.140 dholland 1519: TPI tracks per inch
1.131 wiz 1520: TPM transactions per minute
1.101 jruoho 1521: TPM trusted platform module
1.116 jruoho 1522: TPR task priority register
1.140 dholland 1523: TPS transactions per second
1.127 jruoho 1524: TPS transmission parameters signaling
1.131 wiz 1525: TR task register
1.53 jmmv 1526: TR token ring
1.127 jruoho 1527: TRL timing recovery loop
1.88 tsutsui 1528: TRNG true random number generator
1.131 wiz 1529: TS terminal services
1530: TS time series
1.110 jruoho 1531: TS time stamp
1.127 jruoho 1532: TS transport stream
1.209 kamil 1533: TSAN Thread Sanitizer
1.104 jruoho 1534: TSC time stamp counter
1.122 jruoho 1535: TSD time stamp disable
1.199 ginsbach 1536: TSDB time series database
1.122 jruoho 1537: TSL test, set, lock
1.120 jruoho 1538: TSO time sharing option
1.181 kamil 1539: TSO Total Store Ordering
1.131 wiz 1540: TSP Time-Stamp Protocol
1541: TSP Tunnel Setup Protocol
1.127 jruoho 1542: TSP time synchronization protocol
1.120 jruoho 1543: TSP traveling salesman problem
1.100 jruoho 1544: TSS task state segment
1.48 jmmv 1545: TTL time to live
1.110 jruoho 1546: TTL transistor-transistor logic
1547: TTM translation table maps
1.9 keihan 1548: TTY teletype
1.131 wiz 1549: TUI {terminal,text-based,textual} user interface
1550: TX {transmit out,transmitter}
1.221 sevan 1551: TXG transaction group
1.9 keihan 1552: TZ time zone
1.131 wiz 1553: UAC user {access,account} control
1.163 pgoyette 1554: UAF use-after-free
1.53 jmmv 1555: UART universal asynchronous receiver/transmitter
1.126 jruoho 1556: UAT user acceptance testing
1.178 leot 1557: UB undefined behavior
1.209 kamil 1558: UBSAN Undefined Behavior Sanitizer
1.18 jmmv 1559: UC uncacheable
1.117 jruoho 1560: UCS uniform-cost search
1.253 sevan 1561: UCS unified computing system
1562: UCS universal coded character set
1.94 jruoho 1563: UDMA ultra DMA
1.131 wiz 1564: UDO ultra density optical
1565: UDP User Datagram Protocol
1.156 jnemeth 1566: UEFI unified extensible firmware interface
1.131 wiz 1567: UFS Unix File System
1.156 jnemeth 1568: UGA universal graphics adapter
1.131 wiz 1569: UI unit interval
1.94 jruoho 1570: UI user interface
1.226 dholland 1571: UICC universal integrated circuit card
1.131 wiz 1572: UID unique identifier
1.96 dholland 1573: UID user identifier
1.120 jruoho 1574: UL upload
1575: UMA uniform memory access
1.107 jruoho 1576: UMA upper memory area
1577: UMB upper memory block
1.131 wiz 1578: UML unified modeling language
1.122 jruoho 1579: UMPC ultra-mobile PC
1.126 jruoho 1580: UPNP universal plug and play
1.10 keihan 1581: UPS uninterruptible power supply
1582: URI uniform resource identifier
1583: URL uniform resource locator
1.120 jruoho 1584: URN uniform resource name
1.53 jmmv 1585: USART universal synchronous/asynchronous receiver/transmitter
1.9 keihan 1586: USB universal serial bus
1.18 jmmv 1587: USWC uncacheable speculative write combining
1.122 jruoho 1588: UT unit test
1.94 jruoho 1589: UTC coordinated universal time
1.253 sevan 1590: UTF unicode transformation formats
1.40 keihan 1591: UTP unshielded twisted pair
1.131 wiz 1592: UUCP Unix-to-Unix Copy Protocol
1.97 dholland 1593: UUID universally unique identifier
1.73 jschauma 1594: UUOC useless use of cat
1.126 jruoho 1595: UUT unit under test
1.128 ginsbach 1596: UX user experience
1.131 wiz 1597: VA vertical alignment
1598: VA virtual address
1.122 jruoho 1599: VA volt-ampere
1.30 jmmv 1600: VAX virtual address extension
1.121 jruoho 1601: VB Visual Basic
1.122 jruoho 1602: VCA variable-gain amplifier
1.109 ahoka 1603: VCC common collector voltage
1.260 sevan 1604: VCCP virtual chassis control protocol
1.122 jruoho 1605: VCF voltage-controlled filter
1.16 jmmv 1606: VCM virtual channel memory
1.122 jruoho 1607: VCO voltage-controlled oscillator
1.260 sevan 1608: VCP virtual chassis port
1.124 dholland 1609: VCS version control system
1.265 sevan 1610: VES virtual execution system
1.138 jdf 1611: VESA Video Electronics Standards Association
1.122 jruoho 1612: VFO variable-frequency oscillator
1.236 sevan 1613: VFS virtual file system
1.94 jruoho 1614: VG volume group
1.138 jdf 1615: VGA Video Graphics Array
1.110 jruoho 1616: VHDCI very high density cable interconnect
1.199 ginsbach 1617: VID {VLAN,voltage level} identifier
1.211 sevan 1618: VIM Vi IMproved
1.121 jruoho 1619: VIPT virtually indexed, physically tagged
1620: VIVT virtually indexed, virtually tagged
1.53 jmmv 1621: VLAN virtual local area network
1.110 jruoho 1622: VLIW very long instruction word
1.78 dholland 1623: VLSI very large scale integration
1.72 hubertf 1624: VLSM variable length subnet mask
1625: VM virtual {machine,memory}
1.122 jruoho 1626: VME virtual mode extension
1.131 wiz 1627: VMX vector multimedia extensions
1.120 jruoho 1628: VMX virtual machine extensions
1.131 wiz 1629: VOD video on demand
1.244 sevan 1630: VPD vital product data
1.9 keihan 1631: VPN virtual private network
1.252 sevan 1632: VPWS virtual private wire service
1.120 jruoho 1633: VR virtual reality
1.16 jmmv 1634: VRAM video random access memory
1.174 ginsbach 1635: VRF virtual routing and forwarding
1.121 jruoho 1636: VRM voltage regulator module
1.262 sevan 1637: VROC Virtual RAID On CPU
1.131 wiz 1638: VRRP Virtual Router Redundancy Protocol
1.167 ginsbach 1639: VSTP VLAN Spanning Tree Protocol
1640: VTP VLAN Trunking Protocol
1.245 sevan 1641: VXFS Veritas file sytem
1642: VXVM Veritas volume manager
1.131 wiz 1643: W watt
1.96 dholland 1644: W3C World Wide Web Consortium
1.242 sevan 1645: WAFL write anywhere file layout
1.199 ginsbach 1646: WAMP Web Application Messaging Protocol
1.30 jmmv 1647: WAN wide area network
1.131 wiz 1648: WAP Wireless Application Protocol
1.135 jdf 1649: WAP wireless access point
1.206 sevan 1650: WDM Windows Driver Model
1.207 sevan 1651: WDDM Windows Display Driver Model
1.131 wiz 1652: WDT watchdog timer
1653: WEP Wired Equivalent Privacy
1.94 jruoho 1654: WFI wait for interrupt
1.126 jruoho 1655: WFQ weighted fair queuing
1.121 jruoho 1656: WH write hit
1.131 wiz 1657: WIFI wireless fidelity
1.53 jmmv 1658: WLAN wireless local area network
1.121 jruoho 1659: WM write miss
1.131 wiz 1660: WMI Windows Management Instrumentation
1661: WOL Wake-on-LAN
1662: WOM Wake-on-Modem
1663: WOR Wake-on-Ring
1.113 dholland 1664: WORM write once read many
1.131 wiz 1665: WP WordPerfect
1666: WP word processor
1.122 jruoho 1667: WP write protect
1.135 jdf 1668: WPA Wi-Fi Protected Access
1669: WPA2 Wi-Fi Protected Access II
1670: WPS Wi-Fi Protected Setup
1.16 jmmv 1671: WRAM window random access memory
1.122 jruoho 1672: WS web services
1.121 jruoho 1673: WTC write through caching
1.96 dholland 1674: WWAN wireless wide area network
1.15 keihan 1675: WWW world wide web
1.217 sevan 1676: WYSIAYG what you see is all you get
1.94 jruoho 1677: WYSIWYG what you see is what you get
1.108 jruoho 1678: XBAR crossbar
1.140 dholland 1679: XDMCP X Display Manager control protocol
1.55 kleink 1680: XER XML encoding rules
1.138 jdf 1681: XGA Extended Graphics Array
1.202 sevan 1682: XHR XMLHttpRequest
1.108 jruoho 1683: XIP execute in place
1.131 wiz 1684: XML Extensible Markup Language
1.234 sevan 1685: XNA XNA's not acronymed
1.233 sevan 1686: XNS Xerox network systems
1.117 jruoho 1687: XOR exclusive or
1.94 jruoho 1688: XP extreme programming
1.142 jnemeth 1689: XSI X/Open System Interface
1.1 jmmv 1690: XSL extensible stylesheet language
1.265 sevan 1691: XSLT extensible stylesheet language transformations
1.94 jruoho 1692: XSS cross site scripting
1.18 jmmv 1693: XT extended technology
1.233 sevan 1694: XTI X/Open transport interface
1.94 jruoho 1695: XUL XML user interface language
1.96 dholland 1696: YACC yet another compiler compiler
1.215 ginsbach 1697: YAML YAML ain't markup language
1.199 ginsbach 1698: YANG yet another next generation
1.131 wiz 1699: YAWPFAPR you are welcome; please file a problem report
1700: YP Yellow Pages
1.121 jruoho 1701: YTD year to date
1.220 sevan 1702: ZAP ZFS attribute processor
1.122 jruoho 1703: ZBR zone bit recording
1.58 kleink 1704: ZFOD zero-filled on demand
1.220 sevan 1705: ZFS zettabyte file system
1.120 jruoho 1706: ZIF zero insertion force
1.94 jruoho 1707: ZIFS zero insertion force socket
1.220 sevan 1708: ZIL ZFS intent log
1.120 jruoho 1709: ZMA zone multicast address
1.248 sevan 1710: ZOI zero one infinity
1711: ZOI zone of influence
1.220 sevan 1712: ZPL ZFS Posix layer
1713: ZVOL ZFS Volume
CVSweb <webmaster@jp.NetBSD.org>