Annotation of src/share/misc/acronyms.comp, Revision 1.248
1.248 ! sevan 1: $NetBSD: acronyms.comp,v 1.247 2019/04/17 22:38:14 sevan Exp $
1.53 jmmv 2: 3WHS three-way handshake
1.127 jruoho 3: 8VSB 8-state vestigial side band modulation
1.120 jruoho 4: AA anti-aliasing
1.131 wiz 5: AAA authentication, authorization, [and] accounting
1.120 jruoho 6: AAT average access time
1.6 jschauma 7: ABI application binary interface
1.239 sevan 8: ABNF augmented backus-naur form
1.121 jruoho 9: ABR available bit rate
1.199 ginsbach 10: ABRT automatic bug reporting tool
1.120 jruoho 11: AC alternating current
1.127 jruoho 12: ACI adjacent channel interferer
1.117 jruoho 13: ACID atomicity, consistency, isolation, durability
1.131 wiz 14: ACK Amsterdam compiler kit
1.120 jruoho 15: ACK acknowledgement
1.53 jmmv 16: ACL access control list
1.120 jruoho 17: ACL active current loop
1.223 sevan 18: ACL asynchronous connection less
1.198 ginsbach 19: ACP auxiliary control {process,program}
1.18 jmmv 20: ACPI advanced configuration and power interface
1.121 jruoho 21: ACS access control set
22: ACU automatic calling unit
1.78 dholland 23: ADB Apple desktop bus
1.26 wennmach 24: ADC analog [to] digital converter
1.122 jruoho 25: ADD acronym driven development
1.121 jruoho 26: ADO active data objects
27: ADP automatic data processing
1.49 wiz 28: ADPCM adaptive differential pulse code modulation
1.122 jruoho 29: ADS alternate data stream
1.53 jmmv 30: ADSL asymmetric digital subscriber line
1.131 wiz 31: ADT abstract data type
1.199 ginsbach 32: AER advanced error reporting
1.96 dholland 33: AES Advanced Encryption Standard
1.202 sevan 34: AFP Apple Filing Protocol
1.96 dholland 35: AFS Andrew File System
1.121 jruoho 36: AGC automatic gain control
1.15 keihan 37: AGP accelerated graphics port
1.121 jruoho 38: AI analog input
1.120 jruoho 39: AI artificial intelligence
40: AL access list
41: AL active link
1.109 ahoka 42: ALE address latch enable
1.126 jruoho 43: ALS ambient light sensor
1.94 jruoho 44: ALU arithmetic and logical unit
1.151 dholland 45: ALUA asymmetric logical unit access
1.120 jruoho 46: AM access method
1.131 wiz 47: AM alignment mask
1.51 jmmv 48: AM amplitude modulation
1.202 sevan 49: AMD Advanced Micro Devices Inc
1.215 ginsbach 50: AMDD Agile model-driven development
1.52 jmmv 51: AMI alternate mark inversion
1.175 kamil 52: AMP asymmetric multiprocessing
1.133 ginsbach 53: AMQP advanced message queuing protocol
1.120 jruoho 54: AMT active management technology
1.121 jruoho 55: AN Arabic number
1.192 ginsbach 56: ANR application not responding
1.96 dholland 57: ANSI American National Standards Institute
1.121 jruoho 58: AO analog output
1.131 wiz 59: AOL Alert-on-LAN
1.121 jruoho 60: AOS add or subtract
1.53 jmmv 61: AP access point
1.120 jruoho 62: AP application processor
1.8 keihan 63: API application programming interface
1.199 ginsbach 64: APEI ACPI platform error interface
1.40 keihan 65: APIC advanced programmable interrupt controller
1.129 ginsbach 66: APIPA automatic private IP addressing
1.197 sevan 67: APM advanced power management
1.196 maya 68: APM application performance management
69: APU accelerated processing unit
1.145 riz 70: APT advanced persistent threat
1.126 jruoho 71: AQM active queue management
1.110 jruoho 72: ARAT always running APIC timer
1.102 jruoho 73: ARC adaptive replacement cache
1.210 sevan 74: ARC Advanced RISC Computing
1.192 ginsbach 75: ARC automatic reference counting
1.96 dholland 76: ARM Advanced RISC Machines
1.131 wiz 77: ARP Address Resolution Protocol
1.96 dholland 78: ARPA Advanced Research Projects Agency
1.53 jmmv 79: ARQ automatic repeat request
1.122 jruoho 80: ARR address range register
1.121 jruoho 81: ARU audio response unit
1.53 jmmv 82: AS autonomous system
1.202 sevan 83: ASA Adaptive Security Appliance
1.209 kamil 84: ASAN Address Sanitizer
1.122 jruoho 85: ASC advanced smart cache
1.131 wiz 86: ASCII American Standard Code for Information Interchange
1.122 jruoho 87: ASD agile software development
88: ASE advanced software environment
1.215 ginsbach 89: ASF alert standard format
1.120 jruoho 90: ASIC application-specific integrated circuit
1.198 ginsbach 91: ASK amplitude shift keying
1.77 dholland 92: ASLR address space layout randomization
1.131 wiz 93: ASN autonomous system number
1.236 sevan 94: ASP active server pages
95: ASP auxiliary storage pool
1.122 jruoho 96: ASPM active state power management
97: ASQ automated software quality
1.121 jruoho 98: ASR address space register
1.79 dholland 99: AST abstract syntax tree
1.204 sevan 100: AST asynchronous system trap
1.120 jruoho 101: AT access time
1.18 jmmv 102: AT advanced technology
1.1 jmmv 103: ATA advanced technology attachment
1.70 wiz 104: ATAPI advanced technology attachment packet interface
1.62 elad 105: ATC address translation cache
1.35 grant 106: ATM asynchronous transfer mode
1.18 jmmv 107: ATX advanced technology extended
1.122 jruoho 108: AV anti virus
1.236 sevan 109: AVB audio video bridging
1.122 jruoho 110: AVL Adelson-Velsky-Landis
111: AVX advanced vector extensions
1.127 jruoho 112: BA byte align
1.120 jruoho 113: BAL basic assembly language
1.78 dholland 114: BAR base address register
1.120 jruoho 115: BBS bulletin board system
1.121 jruoho 116: BCC blind carbon copy
1.94 jruoho 117: BCD binary coded decimal
1.245 sevan 118: BCH boot console handler
1.141 dholland 119: BCR byte count register
1.108 jruoho 120: BCS base configuration space
1.120 jruoho 121: BD baud
1.122 jruoho 122: BDD binary decision diagram
1.127 jruoho 123: BDI bit deinterleave
1.122 jruoho 124: BDUF big design up front
1.16 jmmv 125: BEDO burst extended data output
1.54 jmmv 126: BER basic encoding rules
1.131 wiz 127: BER bit error {rate,ratio}
1.199 ginsbach 128: BERT boot error record table
1.131 wiz 129: BFD binary {file,format} descriptor
1.83 elad 130: BFKL big fscking kernel lock
1.117 jruoho 131: BFS breadth-first search
1.121 jruoho 132: BFT binary file transfer
1.105 jruoho 133: BGA ball grid array
1.131 wiz 134: BGP Border Gateway Protocol
1.165 jnemeth 135: BGPSEC BGP Security
1.96 dholland 136: BIND Berkeley Internet Name Daemon
1.138 jdf 137: BIOS Basic Input/Output System
138: BIOS built-in operating system
1.108 jruoho 139: BIST built-in self-test
1.121 jruoho 140: BIU bus interface unit
1.107 jruoho 141: BKDG BIOS and kernel developer's guide
1.102 jruoho 142: BLAS basic linear algebra subprograms
1.131 wiz 143: BLC back light control
1.2 jmmv 144: BLOB binary large object
1.121 jruoho 145: BM bus master
1.101 jruoho 146: BMC baseboard management controller
1.121 jruoho 147: BMIC bus master interface controller
148: BN boundary neutral
149: BNF Backus-Naur form
150: BO binary output
151: BOF beginning of file
1.131 wiz 152: BOM beginning of message
1.121 jruoho 153: BOM byte order mark
1.107 jruoho 154: BP base pointer
1.120 jruoho 155: BP boot processor
1.122 jruoho 156: BPB BIOS parameter block
1.167 ginsbach 157: BPDU bridge protocol data unit
1.131 wiz 158: BPF Berkeley Packet Filter
1.121 jruoho 159: BPI bits per inch
1.122 jruoho 160: BPM business process modelling
1.48 jmmv 161: BPS bits per second
1.127 jruoho 162: BPSK binary phase shift keying
1.95 wiz 163: BQS Berkeley quality software
1.126 jruoho 164: BRE basic regular expression
1.140 dholland 165: BS backspace
1.126 jruoho 166: BS binary sequence
1.135 jdf 167: BSA basic service area
1.131 wiz 168: BSD Berkeley Software Distribution
1.202 sevan 169: BSDP Boot Service Discovery Protocol
1.121 jruoho 170: BSF bit scan forward
1.94 jruoho 171: BSOD blue screen of death
1.112 dholland 172: BSP binary space partition
1.187 ginsbach 173: BSP board support package
1.126 jruoho 174: BSP bootstrap processor
1.121 jruoho 175: BSR bit scan reverse
1.135 jdf 176: BSS basic service set
1.91 matt 177: BSS block started by symbol
1.135 jdf 178: BSSID basic service set identifier
1.131 wiz 179: BT BitTorrent
1.95 wiz 180: BT Bluetooth
1.122 jruoho 181: BT bit test
182: BTC bit test [and] complement
1.236 sevan 183: BTM bus transport mechanism
1.122 jruoho 184: BTR bit test [and] reset
185: BTS bit test [and] set
1.168 dholland 186: BTS bug tracking system
1.120 jruoho 187: BW bandwidth
1.121 jruoho 188: BWM block-write mode
1.219 ginsbach 189: BUAG big ugly ASCII graphic
1.122 jruoho 190: CA certificate authority
1.19 jmmv 191: CAD computer-aided design
1.103 dholland 192: CAM computer assisted manufacturing
1.131 wiz 193: CAM conditional access module
1.102 jruoho 194: CAM content addressable memory
1.131 wiz 195: CARP Common Address Redundancy Protocol
196: CAS column address strobe
197: CAS compare and swap
1.102 jruoho 198: CAS computer algebra system
1.122 jruoho 199: CASE computer aided software engineering
1.121 jruoho 200: CAU control access unit
1.131 wiz 201: CAV constant angular velocity
1.121 jruoho 202: CBC cipher block chaining
203: CBR constant bit rate
1.198 ginsbach 204: CC carbon copy
1.48 jmmv 205: CCD charge coupled device
1.127 jruoho 206: CCI co-channel interferer
1.181 kamil 207: CCNUMA cache-coherent non-uniform memory access
1.131 wiz 208: CD cache disable
1.9 keihan 209: CD compact disc
1.48 jmmv 210: CDDA compact disc digital audio
1.241 sevan 211: CDL compiler description language
1.126 jruoho 212: CDMA code division multiple access
1.167 ginsbach 213: CDP Cisco Discovery Protocol
1.16 jmmv 214: CDRAM cache dynamic random access memory
1.55 kleink 215: CER canonical encoding rules
1.120 jruoho 216: CF compact flash
1.172 riastrad 217: CFB cipher feedback
1.84 dholland 218: CFG context-free grammar
219: CFG control-flow graph
1.209 kamil 220: CFI control-flow integrity sanitizer
1.110 jruoho 221: CG control gate
1.138 jdf 222: CGA Color Graphics Adapter
1.30 jmmv 223: CGI common gateway interface
1.131 wiz 224: CGN Carrier-Grade NAT
225: CHAP Challenge-Handshake Authentication Protocol
1.1 jmmv 226: CHS cylinder/head/sector
1.126 jruoho 227: CI continuous integration
1.131 wiz 228: CI {common,component} interface
229: CIDR Classless Inter-Domain Routing
1.222 sevan 230: CIF common intermediate format
1.131 wiz 231: CIFS Common Internet File System
1.117 jruoho 232: CIL common intermediate language
1.131 wiz 233: CIR carrier-to-interference ratio
1.48 jmmv 234: CIS contact image sensor
1.140 dholland 235: CISC complex instruction set {computer,computing}
1.131 wiz 236: CJK Chinese, Japanese, [and] Korean
1.122 jruoho 237: CLF common log format
1.1 jmmv 238: CLI command line interface
1.120 jruoho 239: CLR common language runtime
1.122 jruoho 240: CLTT closed loop thermal throttling
1.60 uwe 241: CLUT color look-up table
1.131 wiz 242: CLV constant linear velocity
1.122 jruoho 243: CM configuration management
244: CMA concert multithread architecture
1.199 ginsbach 245: CMC chassis management controller
246: CMC corrected machine check
1.184 ginsbach 247: CMS {configuration,content,course} management system
248: CMI control {management,method} interface
1.110 jruoho 249: CMOS complementary metal-oxide-semiconductor
1.121 jruoho 250: CMP chip multi-processing
1.120 jruoho 251: CMS content management system
1.50 salo 252: CMYK cyan magenta yellow black
1.131 wiz 253: CN {common,canonical} name
1.120 jruoho 254: CNC computer numerical control
1.131 wiz 255: CNR carrier-to-noise ratio
1.108 jruoho 256: COF current operating frequency
1.127 jruoho 257: COFDM coded orthogonal frequency division multiplexing
1.37 grant 258: COFF common object file format
1.126 jruoho 259: COM component object model
1.235 sevan 260: COM computer on module
1.107 jruoho 261: COMA cache-only memory architecture
1.126 jruoho 262: CORBA common object request broker architecture
1.199 ginsbach 263: COS class of service
1.58 kleink 264: COW copy-on-write
1.127 jruoho 265: CP continuous pilot
1.121 jruoho 266: CPB core performance boost
1.218 sevan 267: CPC central processor complex
268: CPC cpu performance counters
1.127 jruoho 269: CPE common phase error
1.199 ginsbach 270: CPE common platform enumeration
271: CPER common platform error record
1.121 jruoho 272: CPG clock pulse generator
1.218 sevan 273: CPI cycles per instruction
1.110 jruoho 274: CPL current privilege level
1.126 jruoho 275: CPLD complex programmable logic device
1.140 dholland 276: CPP C preprocessor
1.120 jruoho 277: CPS characters per second
1.121 jruoho 278: CPT command pass through
1.24 keihan 279: CPU central processing unit
1.94 jruoho 280: CR carriage return
1.120 jruoho 281: CRC cyclic redundancy check
1.127 jruoho 282: CRL carrier recovery loop
1.1 jmmv 283: CRLF carriage return line feed
1.19 jmmv 284: CRT cathode ray tube
1.199 ginsbach 285: CRUD create, read, update, and delete
1.94 jruoho 286: CS cable select
1.108 jruoho 287: CS chip select
1.107 jruoho 288: CS code segment
1.131 wiz 289: CS computer science
1.193 ginsbach 290: CSDL {common,conceptual} schema definition language
1.131 wiz 291: CSI channel state information
1.107 jruoho 292: CSI common system interface
1.53 jmmv 293: CSMA carrier sense multiple access
294: CSMA/CA carrier sense multiple access with collision avoidance
295: CSMA/CD carrier sense multiple access with collision detection
1.127 jruoho 296: CSR control [and] status registers
1.140 dholland 297: CSRG Computer Systems Research Group
1.1 jmmv 298: CSS cascading style sheets
1.122 jruoho 299: CSV comma-separated values
1.231 sevan 300: CTF compact c type format
1.120 jruoho 301: CTM close to metal
1.172 riastrad 302: CTR counter [mode]
1.53 jmmv 303: CTS clear to send
1.120 jruoho 304: CUA common user access
1.122 jruoho 305: CUT coordinated universal time
306: CV control voltage
1.177 dholland 307: CVE common vulnerabilities and exposures
1.131 wiz 308: CVS Concurrent Versions System
1.122 jruoho 309: DA destination address
310: DAA distributed application architecture
1.127 jruoho 311: DAB digital audio broadcasting
1.131 wiz 312: DAC digital [to] analog converter
1.94 jruoho 313: DAC discretionary access control
1.132 plunky 314: DAD duplicate address detection
1.160 dholland 315: DANE DNS-based Authentication of Named Entities
1.120 jruoho 316: DAO disk at once
1.131 wiz 317: DAP Directory Access Protocol
1.120 jruoho 318: DAT digital audio tape
1.121 jruoho 319: DAT dynamic acceleration technology
1.94 jruoho 320: DB database
1.140 dholland 321: DBA database administrator
1.126 jruoho 322: DBA dynamic bandwidth allocation
1.127 jruoho 323: DBB data bus buffer
1.126 jruoho 324: DBC design by contract
325: DBL dynamic buffer limiting
1.117 jruoho 326: DBMS database management system
1.121 jruoho 327: DBS database server
1.120 jruoho 328: DC direct current
1.131 wiz 329: DCC Direct Client-to-Client
1.121 jruoho 330: DCC direct cable connect
331: DCD data carrier detect
1.53 jmmv 332: DCE data control equipment
333: DCE distributed computing environment
1.219 ginsbach 334: DCIM data center infrastructure management
335: DCIM digital camera images
1.210 sevan 336: DCL Digital Command Language
1.126 jruoho 337: DCOM distributed component object model
1.131 wiz 338: DCOP Desktop COmmunication Protocol
1.121 jruoho 339: DCS data collection systems
1.48 jmmv 340: DCT discrete cosine transform
1.121 jruoho 341: DCU data cache unit
1.19 jmmv 342: DDC display data channel
1.120 jruoho 343: DDE dynamic data exchange
1.121 jruoho 344: DDK device driver kit
1.124 dholland 345: DDL data description language
1.16 jmmv 346: DDR double data rate
1.121 jruoho 347: DDS direct digital sound
1.138 jdf 348: DDWG Digital Display Working Group
1.131 wiz 349: DE debugging extensions
1.104 jruoho 350: DE desktop environment
1.122 jruoho 351: DEA data encryption algorithm
1.202 sevan 352: DEC Digital Equipment Corporation
1.121 jruoho 353: DEK data encryption key
1.110 jruoho 354: DEP data execution prevention
1.55 kleink 355: DER distinguished encoding rules
1.96 dholland 356: DES Data Encryption Standard
1.124 dholland 357: DF don't fragment
1.117 jruoho 358: DFA deterministic finite automaton
1.121 jruoho 359: DFC data flow control
1.94 jruoho 360: DFS depth first search
361: DFS distributed file system
1.209 kamil 362: DFSAN Data Flow Sanitizer
1.131 wiz 363: DFT diagnostic function test
1.96 dholland 364: DFT discrete Fourier transform
1.122 jruoho 365: DGL data generation language
366: DH Diffie-Hellman
1.131 wiz 367: DHCP Dynamic Host Configuration Protocol
1.53 jmmv 368: DIFS distributed inter-frame space
1.94 jruoho 369: DIMM dual inline memory module
1.122 jruoho 370: DIRT design in real time
1.131 wiz 371: DL diode logic
1.139 agc 372: DL discrete logarithm
1.120 jruoho 373: DL download
1.53 jmmv 374: DLE data link escape
1.94 jruoho 375: DLL dynamic link library
1.139 agc 376: DLP discrete logarithm problem
1.5 jmmv 377: DMA direct memory access
1.110 jruoho 378: DMI desktop management interface
1.122 jruoho 379: DMS document management system
1.127 jruoho 380: DMT discrete multitone modulation
1.220 sevan 381: DMU data management layer
1.95 wiz 382: DNARD Digital network appliance reference design
1.140 dholland 383: DND drag and drop
1.227 sevan 384: DNLC directory name lookup cache
1.131 wiz 385: DNS Domain Name System
1.160 dholland 386: DNSSEC DNS Security Extensions
1.122 jruoho 387: DOE distributed object environment
1.121 jruoho 388: DOF data over fibre
1.94 jruoho 389: DOM document object model
1.53 jmmv 390: DOS denial of service
1.110 jruoho 391: DOS disk operating system
1.138 jdf 392: DP DisplayPort
1.116 jruoho 393: DPC deferred procedure call
1.49 wiz 394: DPCM differential pulse code modulation
1.63 elad 395: DPD dead peer detection
1.131 wiz 396: DPI deep packet inspection
1.48 jmmv 397: DPI dots per inch
1.110 jruoho 398: DPL descriptor privilege level
1.140 dholland 399: DPS Display PostScript
1.122 jruoho 400: DPST display power savings technology
1.190 ginsbach 401: DRAAS disaster recovery as a service
1.16 jmmv 402: DRAM dynamic random access memory
1.172 riastrad 403: DRBG deterministic random bit generator
1.94 jruoho 404: DRI direct rendering infrastructure
1.110 jruoho 405: DRM digital rights management
1.122 jruoho 406: DRRS display refresh rate switching
1.104 jruoho 407: DS debug store
1.139 agc 408: DSA digital signature algorithm
1.167 ginsbach 409: DSAP destination service access point
1.122 jruoho 410: DSB double-sideband modulation
1.105 jruoho 411: DSDT differentiated system descriptor table
1.245 sevan 412: DSF device special file
1.220 sevan 413: DSL dataset and snapshot layer
1.9 keihan 414: DSL digital subscriber line
1.120 jruoho 415: DSL domain specific language
1.94 jruoho 416: DSLAM digital subscriber line access multiplexer
1.122 jruoho 417: DSN delivery status notification
1.107 jruoho 418: DSO dynamic shared object
1.110 jruoho 419: DSP digital signal processor
1.53 jmmv 420: DSSS direct sequence spread spectrum
1.1 jmmv 421: DTD document type definition
1.53 jmmv 422: DTE data terminal equipment
423: DTE dumb terminal emulator
1.110 jruoho 424: DTL diode-transistor logic
1.160 dholland 425: DTLS datagram transport layer security
1.122 jruoho 426: DTS digital thermal sensor
1.126 jruoho 427: DUT device under test
1.104 jruoho 428: DVB digital video broadcasting
1.122 jruoho 429: DVCS distributed version control system
1.9 keihan 430: DVD digital versatile disc
1.105 jruoho 431: DVFS dynamic voltage and frequency scaling
1.138 jdf 432: DVI device independent
433: DVI Digital Visual Interface
1.94 jruoho 434: DVR digital video recorder
1.70 wiz 435: E-XER extended XML encoding rules
1.126 jruoho 436: EABI embedded-application binary interface
1.159 jnemeth 437: EAI Email Address Internationalization
438: EAI Enterprise Application Integration
1.131 wiz 439: EAP Extensible Authentication Protocol
1.166 jnemeth 440: EAPOL EAP over Lan
1.153 jakllsch 441: EBCDIC Extended Binary Coded Decimal Interchange Code
1.212 maya 442: EBDA Extended BIOS Data Area
1.239 sevan 443: EBNF extended backus-naur form
1.122 jruoho 444: EBR extended boot record
1.172 riastrad 445: EC elliptic curve
446: ECC elliptic curve cryptography
1.121 jruoho 447: ECC error correction code
1.172 riastrad 448: ECDH elliptic curve Diffie-Hellman
449: ECDL elliptic curve discrete logarithm
450: ECDLP elliptic curve discrete logarithm problem
451: ECDSA elliptic curve digital signature algorithm
1.110 jruoho 452: ECL emitter-coupled logic
1.94 jruoho 453: ECN explicit congestion notification
1.18 jmmv 454: ECP enhanced capability port
1.121 jruoho 455: ECS enhanced chip set
456: ECS extended configuration space
1.199 ginsbach 457: EDAC error detection and correction
1.121 jruoho 458: EDAT enhanced dynamic acceleration technology
1.172 riastrad 459: EdDSA Edwards curve digital signature algorithm
1.127 jruoho 460: EDGE explicit data graph execution
1.19 jmmv 461: EDID extended display identification data
1.16 jmmv 462: EDO extended data out
1.121 jruoho 463: EDS electronical data sheet
1.180 ginsbach 464: EEE energy efficient ethernet
1.16 jmmv 465: EEPROM electrically erasable programmable read only memory
1.72 hubertf 466: EFI extensible firmware interface
1.121 jruoho 467: EFL emitter follower logic
1.48 jmmv 468: EFM eight to fourteen modulation
1.138 jdf 469: EGA Enhanced Graphics Adapter
1.54 jmmv 470: EGP exterior gateway protocol
1.122 jruoho 471: EH extension header
1.154 jakllsch 472: EIDE enhanced IDE
1.1 jmmv 473: EISA extended industry standard architecture
1.199 ginsbach 474: EINJ error injection table
1.37 grant 475: ELF executable and linking format
1.121 jruoho 476: ELS entry level system
1.122 jruoho 477: EMI electro-magnetic interference
1.121 jruoho 478: EMP electro-magnetic pulse
1.122 jruoho 479: EMR electro-magnetic radiation
1.210 sevan 480: EMACS Editor MACroS
1.66 rpaulo 481: EOF end of file
1.126 jruoho 482: EOI end of interrupt
1.225 htodd 483: EOIS end of interactive support
484: EOL end of life
1.96 dholland 485: EOL end of line
1.66 rpaulo 486: EOT end of transmission
1.120 jruoho 487: EPIC explicitly parallel instruction computing
1.18 jmmv 488: EPP enhanced parallel port
1.51 jmmv 489: EPRML extended partial response, maximum likelihood
1.16 jmmv 490: EPROM erasable programmable read only memory
1.121 jruoho 491: ERD emergency recovery disk
1.140 dholland 492: ERD entity relationship diagram
1.126 jruoho 493: ERE extended regular expression
1.199 ginsbach 494: ERST error record serialization table
1.209 kamil 495: ESAN Efficiency Sanitizer
1.199 ginsbach 496: ESB enterprise service bus
1.224 sevan 497: ESDI enhanced small disk interface
1.16 jmmv 498: ESDRAM enhanced synchronous dynamic random access memory
1.224 sevan 499: ESI enclosure services interface
1.121 jruoho 500: ESS electronic switching system
1.135 jdf 501: ESS extended service set
502: ESSID extended service set identifier
1.104 jruoho 503: EST enhanced speedstep
1.120 jruoho 504: ETL extract, transform, load
1.121 jruoho 505: EU execution unit
1.120 jruoho 506: EULA end user license agreement
1.30 jmmv 507: FAT file allocation table
1.16 jmmv 508: FBRAM frame buffer random access memory
1.222 sevan 509: FCIF full common intermediate format
1.121 jruoho 510: FCL fiber channel loop
1.58 kleink 511: FCS frame check sequence
1.120 jruoho 512: FDC floppy disk controller
513: FDD floppy disk drive
1.39 jschauma 514: FDDI fiber distributed data interface
1.122 jruoho 515: FDE full disk encryption
1.214 alnsn 516: FDT flattened device tree
1.122 jruoho 517: FEA finite element analysis
1.110 jruoho 518: FEC forward error correction
1.122 jruoho 519: FET field-effect transistor
1.172 riastrad 520: FF finite field
1.140 dholland 521: FF form feed
1.172 riastrad 522: FFDH finite-field Diffie-Hellman
1.110 jruoho 523: FFH functional fixed hardware
1.86 tnn 524: FFI foreign function interface
1.127 jruoho 525: FFM focus follows mouse
1.131 wiz 526: FFS Fast File System
1.87 dholland 527: FFS find first set
1.110 jruoho 528: FFT fast Fourier transform
529: FG floating gate
1.53 jmmv 530: FHSS frequency hop spread spectrum
1.108 jruoho 531: FID frequency identifier
1.121 jruoho 532: FIFO first in, first out
533: FILO first in, last out
1.172 riastrad 534: FIPS Federal Information Processing Standards
1.57 wiz 535: FIR fast infrared
1.9 keihan 536: FLOPS floating [point] operations per second
1.131 wiz 537: FLOSS free/libre/open source software
1.51 jmmv 538: FM frequency modulation
1.122 jruoho 539: FMR false match rate
1.131 wiz 540: FOSS free/open source software
1.94 jruoho 541: FPGA field programmable gate array
1.17 jmmv 542: FPM fast page mode
1.120 jruoho 543: FPR floating point register
1.94 jruoho 544: FPU floating point unit
1.15 keihan 545: FQDN fully qualified domain name
1.122 jruoho 546: FRR false rejection rate
1.94 jruoho 547: FRU field replaceable unit
1.122 jruoho 548: FS file system
1.105 jruoho 549: FSB front side bus
1.115 dholland 550: FSCK file system check
1.131 wiz 551: FSF Free Software Foundation
1.122 jruoho 552: FSK frequency shift keying
1.117 jruoho 553: FSM finite-state machine
1.122 jruoho 554: FTA fault tree analysis
1.134 dholland 555: FTL flash translation layer
1.131 wiz 556: FTP File Transfer Protocol
557: FTPS File Transfer Protocol Secure
1.122 jruoho 558: FUS fast user switching
1.101 jruoho 559: FWH firmware hub
1.120 jruoho 560: FWS folding white space
1.126 jruoho 561: GAL generic array logic
1.101 jruoho 562: GAS generic address structure
1.44 jmmv 563: GC garbage collector
1.172 riastrad 564: GCM Galois counter mode
1.58 kleink 565: GCR group-coded recording
1.206 sevan 566: GDI Graphics Device Interface
1.100 jruoho 567: GDT global descriptor table
1.131 wiz 568: GEM graphics environment manager
1.110 jruoho 569: GEM graphics execution manager
1.126 jruoho 570: GENA general event notification architecture
571: GHC Glasgow Haskell compiler
1.199 ginsbach 572: GHES generic hardware error source
573: GIC generic interrupt controller
1.94 jruoho 574: GID group identifier
1.5 jmmv 575: GIF graphics interchange format
1.101 jruoho 576: GMCH graphics and memory controller hub
1.131 wiz 577: GNU GNU's Not Unix
1.156 jnemeth 578: GOP graphics output protocol
1.107 jruoho 579: GOT global offset table
1.105 jruoho 580: GPE general purpose event
1.113 dholland 581: GPF general protection fault
1.131 wiz 582: GPG GNU Privacy Guard
583: GPL [GNU] General Public License
1.120 jruoho 584: GPR general purpose register
1.126 jruoho 585: GPS generalized processor sharing
1.120 jruoho 586: GPT GUID partition table
1.24 keihan 587: GPU graphics processing unit
1.122 jruoho 588: GR golden ratio
1.53 jmmv 589: GRE generic routing encapsulation
1.105 jruoho 590: GSI global system interrupt
1.131 wiz 591: GUI graphical user interface
1.94 jruoho 592: GUID globally unique identifier
1.185 rtr 593: GVFS git virtual file system
1.78 dholland 594: HA high availability
1.94 jruoho 595: HAL hardware abstraction layer
1.120 jruoho 596: HAT hashed array tree
1.199 ginsbach 597: HATEOAS hypermedia as the engine of application state
1.82 tnn 598: HBA host bus adapter
1.131 wiz 599: HCF halt and catch fire
1.81 tnn 600: HCI host controller interface
601: HCI human-computer interaction
1.120 jruoho 602: HCL hardware compatibility list
1.138 jdf 603: HDCP High-bandwidth Digital Content Protection
1.94 jruoho 604: HDD hard disk drive
1.126 jruoho 605: HDL hardware description language
1.138 jdf 606: HDMI High-Definition Multimedia Interface
1.127 jruoho 607: HDTV high-definition television
1.199 ginsbach 608: HECI host embedded controller interface
609: HEST hardware error source table
1.120 jruoho 610: HF high frequency
1.105 jruoho 611: HFM highest frequency mode
1.245 sevan 612: HFS hierarchical file system
1.94 jruoho 613: HID human interface device
1.194 sevan 614: HKP HTTP Keyserver Protocol
1.121 jruoho 615: HLL high-level language
1.107 jruoho 616: HMA high memory area
1.120 jruoho 617: HMI human-machine interface
1.131 wiz 618: HOOD hierarchical object oriented design
1.121 jruoho 619: HP Hewlett-Packard
1.102 jruoho 620: HPC high performance computing
1.105 jruoho 621: HPET high precision event timer
1.160 dholland 622: HSM hardware security module
1.161 ginsbach 623: HSM hierarchical storage management
1.96 dholland 624: HT hyper-threading
1.108 jruoho 625: HTC hardware thermal control
1.122 jruoho 626: HTCC high temperature co-fired ceramic
1.131 wiz 627: HTML HyperText Markup Language
1.120 jruoho 628: HTT hyper-threading technology
1.131 wiz 629: HTTP Hypertext Transfer Protocol
630: HTTPS Hypertext Transfer Protocol Secure
1.122 jruoho 631: HVM hardware virtual machine
1.208 sevan 632: HVDS High-Voltage Differential Signaling
1.209 kamil 633: HWASAN Hardware-assisted Address Sanitizer
1.95 wiz 634: HZ Hertz
1.1 jmmv 635: I2O intelligent input/output
1.122 jruoho 636: IA information assurance
1.190 ginsbach 637: IAAS infrastructure as a service
1.131 wiz 638: IANA Internet Assigned Numbers Authority
1.122 jruoho 639: IBC iterated block cipher
1.121 jruoho 640: IBM International Business Machines
1.108 jruoho 641: IBS instruction based sampling
1.135 jdf 642: IBSS independent basic service set
1.131 wiz 643: IC integrated circuit
1.121 jruoho 644: ICA independent computer architecture
1.131 wiz 645: ICB Internet Citizen's Band
1.120 jruoho 646: ICE in-circuit emulator
1.131 wiz 647: ICE internal compiler error
1.101 jruoho 648: ICH I/O controller hub
1.131 wiz 649: ICMP Internet Control Message Protocol
1.126 jruoho 650: ICT information and communications technology
1.127 jruoho 651: ICW initialization command word
1.121 jruoho 652: IDA Intel dynamic acceleration
1.140 dholland 653: IDCMP Intuition direct communication message port
1.131 wiz 654: IDE integrated development environment
1.1 jmmv 655: IDE integrated drive electronics
1.124 dholland 656: IDPS intrusion detection [and] prevention system
1.53 jmmv 657: IDRP inter-domain routing protocol
1.94 jruoho 658: IDS intrusion detection system
1.100 jruoho 659: IDT interrupt descriptor table
1.96 dholland 660: IE Internet Explorer
1.131 wiz 661: IEC International Electrotechnical Commission
662: IEEE Institute of Electrical and Electronics Engineers
1.95 wiz 663: IESG Internet Engineering Steering Group
664: IETF Internet Engineering Task Force
1.127 jruoho 665: IF intermediate frequency
1.108 jruoho 666: IFCM isochronous flow control mode
1.140 dholland 667: IFF Interchange File Format
1.195 sevan 668: IFS internal field separator
1.126 jruoho 669: IGD Internet gateway device
1.131 wiz 670: IGMP Internet Group Management Protocol
1.53 jmmv 671: IGP interior gateway protocol
1.120 jruoho 672: IHV independent hardware vendor
1.95 wiz 673: IKE Internet key exchange
1.121 jruoho 674: ILM internal loopback mode
1.140 dholland 675: ILOM integrated lights-out management
1.110 jruoho 676: ILP instruction level parallelism
1.120 jruoho 677: IM instant messaging
1.131 wiz 678: IMAP Internet Message Access Protocol
1.101 jruoho 679: IMC integrated memory controller
1.116 jruoho 680: IMCR interrupt mode configuration register
1.127 jruoho 681: IMR interrupt mask register
1.122 jruoho 682: IMS information management system
1.226 dholland 683: IMSI international mobile subscriber identity
1.131 wiz 684: INCITS InterNational Committee for Information Technology Standards
1.1 jmmv 685: IO input/output
1.9 keihan 686: IOCTL input/output control
1.236 sevan 687: IOM input/output managers
1.104 jruoho 688: IOMMU input/output memory management unit
1.146 plunky 689: IOT Internet of Things
1.96 dholland 690: IP Internet Protocol
1.94 jruoho 691: IP intellectual property
1.218 sevan 692: IPC instructions per cycle
1.70 wiz 693: IPC interprocess communication
1.236 sevan 694: IPCF interprocess communication facility
1.122 jruoho 695: IPE integrated programming environment
1.224 sevan 696: IPI intelligent peripheral interface
1.104 jruoho 697: IPI interprocessor interrupt
1.202 sevan 698: IPL Initial Program Load
1.204 sevan 699: IPL Interrupt Priority Level
1.199 ginsbach 700: IPMB Intelligent Platform Management Bus
701: IPMI Intelligent Platform Management Interface
1.131 wiz 702: IPNG Internet Protocol, Next Generation
703: IPS in-plane switching
1.94 jruoho 704: IPS intrusion prevention system
1.131 wiz 705: IPSEC Internet Protocol Security
706: IRC Internet Relay Chat
1.94 jruoho 707: IRDA infrared data association
1.9 keihan 708: IRQ interrupt request
1.116 jruoho 709: IRQL interrupt request level
1.127 jruoho 710: IRR interrupt request register
1.131 wiz 711: IRTF Internet Research Task Force
1.122 jruoho 712: IS information system
1.3 jmmv 713: ISA industry standard architecture
1.107 jruoho 714: ISA instruction set architecture
1.9 keihan 715: ISDN integrated services digital network
1.53 jmmv 716: ISI inter-symbol interference
1.245 sevan 717: ISL initial system load
1.131 wiz 718: ISM industrial, scientific, [and] medical
1.53 jmmv 719: ISN initial serial number
1.131 wiz 720: ISO International Standards Organization
721: ISOC Internet Society
1.95 wiz 722: ISP Internet service provider
1.131 wiz 723: ISR in-service register
1.100 jruoho 724: ISR interrupt service routine
725: IST interrupt stack table
1.120 jruoho 726: ISV independent software vendor
1.126 jruoho 727: IT information technology
1.131 wiz 728: ITB Intel Turbo Boost
1.164 jnemeth 729: ITIL information technology infrastructure library
1.199 ginsbach 730: ITP in-target probe
1.164 jnemeth 731: ITSM IT service management
1.122 jruoho 732: IV initialization vector
1.100 jruoho 733: IVT interrupt vector table
1.97 dholland 734: JBOD just a bunch of disks
1.238 uwe 735: JCL Job Control Language
1.122 jruoho 736: JFET junction [gate] field-effect transistor
1.94 jruoho 737: JIT just in time
1.131 wiz 738: JPEG Joint Photographic Experts Group
739: JRE Java Runtime Environment
1.199 ginsbach 740: JSON JavaScript Object Notation
1.94 jruoho 741: JTAG joint test action group
1.189 alnsn 742: KASLR kernel address space layout randomization
1.120 jruoho 743: KB keyboard
744: KB kilobyte
745: KBD keyboard
746: KBD kilobaud
1.199 ginsbach 747: KCS keyboard controller style
1.78 dholland 748: KLOC thousand lines of code
1.110 jruoho 749: KMS kernel-mode setting
1.69 elad 750: KPI kernel programming interface
1.12 wiz 751: KVA kernel virtual address
1.140 dholland 752: KVM kernel virtual machine
1.78 dholland 753: KVM kernel virtual memory
1.131 wiz 754: KVM keyboard, video, [and] mouse
1.247 sevan 755: L2CAP link layer control and adaptation protocol
1.96 dholland 756: LAMP Linux Apache MySQL {Perl,PHP,Python}
1.9 keihan 757: LAN local area network
1.105 jruoho 758: LAPIC local advanced programmable interrupt controller
1.122 jruoho 759: LAR load access rights
1.9 keihan 760: LBA logical block addressing
1.241 sevan 761: LBNF labeled backus-naur form
1.122 jruoho 762: LBS location-based service
1.19 jmmv 763: LCD liquid crystal display
1.53 jmmv 764: LCP link control protocol
1.122 jruoho 765: LDA local delivery agent
1.131 wiz 766: LDAP Lightweight Directory Access Protocol
1.122 jruoho 767: LDR light-dependent resistor
1.100 jruoho 768: LDT local descriptor table
1.110 jruoho 769: LE logical extent
1.30 jmmv 770: LED light emitting diode
1.223 sevan 771: LELL low energy link layer
1.121 jruoho 772: LER label edge router
1.120 jruoho 773: LF line feed
774: LF low frequency
1.105 jruoho 775: LFM lowest frequency mode
1.121 jruoho 776: LFN long file names
1.122 jruoho 777: LFO low-frequency oscillation
1.98 dholland 778: LFS log-structured file system
1.102 jruoho 779: LFU least frequently used
1.127 jruoho 780: LHP loop heat pipe
1.245 sevan 781: LIF logical interchange format
1.121 jruoho 782: LIFO last in, first out
1.131 wiz 783: LILO LInux LOader
1.121 jruoho 784: LILO last in, last out
1.108 jruoho 785: LINT local interrupt
1.95 wiz 786: LIR local Internet registry
1.211 sevan 787: LISP LISt Processor
788: LISP Locator/ID Separation Protocol
1.131 wiz 789: LKM {Linux,loadable} kernel module
1.95 wiz 790: LKML Linux kernel mailing list
1.122 jruoho 791: LL load linked
1.131 wiz 792: LL/SC load linked/store conditional
1.53 jmmv 793: LLC logical link control
1.243 sevan 794: LLD low-level design
795: LLDD low-level design document
1.148 ginsbach 796: LLDP link layer discovery protocol
1.121 jruoho 797: LLF low level format
1.122 jruoho 798: LLMNR link-local multicast name resolution
1.117 jruoho 799: LLVM Low Level Virtual Machine
1.122 jruoho 800: LM long mode
1.121 jruoho 801: LMM link management mode
1.223 sevan 802: LMP link management protocol
1.121 jruoho 803: LNO loop nest optimization
1.78 dholland 804: LOC lines of code
1.140 dholland 805: LOM lights-out management
1.218 sevan 806: LPE Linux performance events
1.101 jruoho 807: LPC low pin count
1.122 jruoho 808: LPS local positioning system
1.48 jmmv 809: LRC longitudinal redundancy check
1.121 jruoho 810: LRM left-to-right mark
811: LRO left-to-right override
1.102 jruoho 812: LRU least recently used
1.209 kamil 813: LSAN Leak Sanitizer
1.95 wiz 814: LSB Linux standards base
1.131 wiz 815: LSB least significant {bit,byte}
1.78 dholland 816: LSI large scale integration
1.122 jruoho 817: LSL load segment limit
1.215 ginsbach 818: LSM log structured merge
1.131 wiz 819: LSN Large Scale NAT
1.140 dholland 820: LSN log sequence number
1.121 jruoho 821: LSR label switch router
1.122 jruoho 822: LTCC low temperature co-fired ceramic
1.193 ginsbach 823: LTO linear tape-open
1.150 dholland 824: LTO link time optimization
1.120 jruoho 825: LTR left to right
1.100 jruoho 826: LTR load task register
1.140 dholland 827: LTR letter(-sized paper)
1.157 jnemeth 828: LTS long term support
1.152 mbalmer 829: LUA Lua Uppercase Accident
1.9 keihan 830: LUN logical unit number
1.94 jruoho 831: LV logical volume
832: LVM logical volume management
1.108 jruoho 833: LVT local vector table
1.208 sevan 834: LVDS Low-Voltage Differential Signaling
1.122 jruoho 835: LWP light-weight process
1.244 sevan 836: LZSS Lempel Ziv Storer Szymanski
1.49 wiz 837: LZW Lempel Ziv Welch
1.131 wiz 838: MAC mandatory access control
1.179 ginsbach 839: MAC {media,medium} access control
1.140 dholland 840: MAC message authentication {check,code}
1.105 jruoho 841: MADT multiple APIC descriptor table
1.120 jruoho 842: MB megabyte
1.155 jnemeth 843: MBA multi-boot agent
1.9 keihan 844: MBR master boot record
1.120 jruoho 845: MBS megabits per second
1.108 jruoho 846: MC memory controller
1.104 jruoho 847: MCA machine check architecture
1.140 dholland 848: MCA MicroChannel architecture
1.117 jruoho 849: MCC multiversion concurrency control
1.104 jruoho 850: MCE machine check exception
1.138 jdf 851: MCGA Multi-Color Graphics Array
1.101 jruoho 852: MCH memory controller hub
1.122 jruoho 853: MCM multi-chip module
1.108 jruoho 854: MCQ memory controlled queue
1.121 jruoho 855: MD machine-dependent
1.199 ginsbach 856: MD-SAL model-driven service abstraction layer
1.94 jruoho 857: MDA mail delivery agent
1.138 jdf 858: MDA Monochrome Display Adapter
1.205 sevan 859: MDC Management Data Clock
860: MDI Medium Dependent Interface
861: MDI-X Medium Dependent Interface Crossover
862: MDIO Management Data Input/Output
1.202 sevan 863: MDMX MIPS Digital Media eXtension
1.16 jmmv 864: MDRAM multibank dynamic random access memory
1.121 jruoho 865: MESI modified, exclusive, shared, invalid
1.75 reed 866: MFC merge from current
1.140 dholland 867: MFC Microsoft Foundation Classes
1.51 jmmv 868: MFM modified frequency modulation
1.229 sevan 869: MFU most frequently used
1.121 jruoho 870: MI machine-independent
1.236 sevan 871: MI machine interface
1.110 jruoho 872: MIB management information base
1.140 dholland 873: MIC message integrity {check,code}
1.131 wiz 874: MID mobile Internet device
1.29 jmmv 875: MIDI musical instrument digital interface
1.110 jruoho 876: MIF management information format
1.180 ginsbach 877: MII media independent interface
1.139 agc 878: MIM man in the middle
1.131 wiz 879: MIMD multiple instruction, multiple data
880: MIME Multipurpose Internet Mail Extensions
1.94 jruoho 881: MIMO multiple input multiple output
1.9 keihan 882: MIPS million instructions per second
1.203 maya 883: MIPS Microprocessor without Interlocked Pipeline Stages
1.120 jruoho 884: MISD multiple instruction, single data
1.95 wiz 885: MIT Massachusetts Institute of Technology
1.139 agc 886: MITM man in the middle
1.117 jruoho 887: ML machine language
888: ML machine learning
1.131 wiz 889: ML mailing list
1.117 jruoho 890: ML markup language
891: ML maximum likelihood
1.110 jruoho 892: MLC multi-level cell
1.162 riastrad 893: MLD multicast listener discovery
1.127 jruoho 894: MLHP micro loop heat pipe
1.173 ginsbach 895: MMF multi-mode fiber
1.122 jruoho 896: MMIC monolithic microwave integrated circuit
1.105 jruoho 897: MMIO memory mapped input/output
1.149 rodent 898: MMORPG massive multiplayer online role playing game
1.27 jschauma 899: MMU memory management unit
1.131 wiz 900: MMX matrix math extension
1.104 jruoho 901: MMX multimedia extension
902: MMX multiple math extension
1.122 jruoho 903: MO magneto-optical
1.121 jruoho 904: MOESI modified, owned, exclusive, shared, invalid
905: MOF maximum operating frequency
1.122 jruoho 906: MOSFET metal-oxide-semiconductor field-effect transistor
1.121 jruoho 907: MOSI modified, owned, shared, invalid
1.116 jruoho 908: MP multi-platform
909: MP multiplayer
1.131 wiz 910: MP {multiprocessing,multiprocessor}
1.32 jmmv 911: MPEG moving picture experts group
1.120 jruoho 912: MPI message passing interface
1.131 wiz 913: MPLS Multiprotocol Label Switching
1.120 jruoho 914: MPP massively parallel processor
1.131 wiz 915: MPS memory pool system
1.105 jruoho 916: MPS multiprocessor specification
1.199 ginsbach 917: MQTT Message Queuing Telemetry Transport
1.121 jruoho 918: MR modem ready
1.102 jruoho 919: MRU most recently used
1.120 jruoho 920: MS Microsoft
1.209 kamil 921: MSAN Memory Sanitizer
1.131 wiz 922: MSB most significant {bit,byte}
1.215 ginsbach 923: MSC message sequence chart
1.122 jruoho 924: MSE mean square error
1.48 jmmv 925: MSF minutes seconds frames
1.100 jruoho 926: MSI message signaled interrupt
1.121 jruoho 927: MSI modified, shared, invalid
1.199 ginsbach 928: MSR {machine state,model-specific} register
1.53 jmmv 929: MSS maximum segment size
1.167 ginsbach 930: MSTP Multiple Spanning Tree Protocol
1.120 jruoho 931: MT machine translation
1.14 keihan 932: MTA mail transfer agent
1.120 jruoho 933: MTBF mean time between failures
1.149 rodent 934: MTP media transfer protocol
1.104 jruoho 935: MTRR memory type range register
1.120 jruoho 936: MTTF mean time to failure
1.14 keihan 937: MTU maximum transmission unit
938: MUA mail user agent
1.121 jruoho 939: MUD multi-user domain
1.119 dholland 940: MVCC multiversion concurrency control
1.48 jmmv 941: MWE module width encoding
1.120 jruoho 942: MX mail exchange
943: NACK negative acknowledgement
1.232 sevan 944: NAK negative acknowledgement
1.120 jruoho 945: NAN not a number
1.131 wiz 946: NAPT Network Address Port Translation
1.97 dholland 947: NAS network attached storage
1.5 jmmv 948: NAT network address translation
1.53 jmmv 949: NAV network allocation vector
1.120 jruoho 950: NC numerical control
1.244 sevan 951: NC-SI network controller sideband interface
1.122 jruoho 952: NCO numerically-controlled oscillator
1.131 wiz 953: NCP Network Control Protocol
1.244 sevan 954: NCSI network connectivity status indicator
1.72 hubertf 955: NCQ native command queuing
1.122 jruoho 956: ND neighbor discovery
1.140 dholland 957: NDFA nondeterministic finite automaton
1.122 jruoho 958: NE numeric error
1.206 sevan 959: NEWS Network extensible Window System
1.117 jruoho 960: NFA nondeterministic finite automaton
1.158 pgoyette 961: NFC near-field communication
1.171 riastrad 962: NFCI no functional change intended
1.36 grant 963: NFS network file system
1.190 ginsbach 964: NFV network function virtualization
1.121 jruoho 965: NIA next instruction address
1.140 dholland 966: NIC network information center
1.11 keihan 967: NIC network interface card
1.131 wiz 968: NIDS network intrusion detection system
1.121 jruoho 969: NIP network interface protocol
1.131 wiz 970: NIPS network intrusion prevention system
1.10 keihan 971: NIS network information service
1.172 riastrad 972: NIST National Institute of Standards and Technology
1.94 jruoho 973: NLS native language support
1.96 dholland 974: NMI non-maskable interrupt
1.131 wiz 975: NNTP Network News Transfer Protocol
1.140 dholland 976: NOC network operations center
1.94 jruoho 977: NOP no operation
1.140 dholland 978: NOS network operating system
1.117 jruoho 979: NP nondeterministic polynomial time
1.225 htodd 980: NRND not recommended for new designs
1.49 wiz 981: NRZ non-return to zero
1.172 riastrad 982: NSA nation-state adversary
983: NSA no such agency
1.96 dholland 984: NSA National Security Agency
1.117 jruoho 985: NTM non-deterministic Turing machine
1.131 wiz 986: NTP Network Time Protocol
1.96 dholland 987: NUMA non-uniform memory access
1.246 sevan 988: NVMM NetBSD Virtual Machine Monitor
989: NVMM non-volatile main memory
1.96 dholland 990: NVRAM non-volatile random access memory
1.122 jruoho 991: NW not write-through
1.104 jruoho 992: NX no execute
1.110 jruoho 993: OAEP optimal asymmetric encryption padding
1.199 ginsbach 994: OAM operations, administration, and {management,maintenance}
1.105 jruoho 995: OBO off by one
996: OBOE off by one error
1.56 jmmv 997: OCL object constraint language
1.48 jmmv 998: OCR optical character recognition
1.245 sevan 999: ODE offline device environment
1.244 sevan 1000: ODM object data manager
1.105 jruoho 1001: ODCM on-demand clock modulation
1.121 jruoho 1002: ODT on-die termination
1.30 jmmv 1003: OEM original equipment manufacturer
1.172 riastrad 1004: OFB output feedback
1.53 jmmv 1005: OFDM orthogonal frequency division multiplexing
1.122 jruoho 1006: OFET organic field-effect transistor
1.140 dholland 1007: OLAP online analytical processing
1.126 jruoho 1008: OLE object linking and embedding
1.140 dholland 1009: OLTP online transaction processing
1.109 ahoka 1010: ONFI open nand flash interface
1.131 wiz 1011: OO OpenOffice
1.94 jruoho 1012: OO object oriented
1.87 dholland 1013: OOB out of band
1.108 jruoho 1014: OOE out of order execution
1.92 dholland 1015: OOM out of memory
1.131 wiz 1016: OOO OpenOffice.org
1.94 jruoho 1017: OOP object oriented programming
1.140 dholland 1018: OOPS object oriented programming systems
1.117 jruoho 1019: OOSE object oriented software engineering
1.131 wiz 1020: OPM operations per minute
1.121 jruoho 1021: OPS operations per second
1.138 jdf 1022: OQL Object Query Language
1.140 dholland 1023: ORB object request broker
1.107 jruoho 1024: ORM object-relational mapping
1.78 dholland 1025: OS operating system
1.89 dholland 1026: OSD open source definition
1.35 grant 1027: OSF open software foundation
1.131 wiz 1028: OSI Open Source Initiative
1.39 jschauma 1029: OSI open systems interconnection
1.72 hubertf 1030: OSPF open shortest path first
1.121 jruoho 1031: OSPM OS power management
1.131 wiz 1032: OSS open sound system
1.89 dholland 1033: OSS open source software
1.106 cegger 1034: OSVW operating system visible workarounds
1.27 jschauma 1035: OTP one time password
1.133 ginsbach 1036: OTP open telecom platform
1.122 jruoho 1037: OU organizational unit
1.94 jruoho 1038: OUI organizationally unique identifier
1.131 wiz 1039: OWL Web Ontology Language
1040: P2P PCI to PCI
1.94 jruoho 1041: P2P peer to peer
1.131 wiz 1042: PA phase accumulator
1.78 dholland 1043: PA physical address
1.190 ginsbach 1044: PAAS platform as a service
1.122 jruoho 1045: PAC phase-amplitude converter
1.79 dholland 1046: PAE physical address extension
1.131 wiz 1047: PAL phase alternating line
1.126 jruoho 1048: PAL programmable array logic
1.34 keihan 1049: PAM pluggable authentication modules
1.48 jmmv 1050: PAM pulse amplitude modulation
1.110 jruoho 1051: PAP password authentication protocol
1.106 cegger 1052: PAT page attribute table
1.53 jmmv 1053: PAT port address translation
1.94 jruoho 1054: PATA parallel ATA
1.10 keihan 1055: PAX portable archive exchange
1.104 jruoho 1056: PBE pending break encoding
1057: PBE programming by example
1.48 jmmv 1058: PC personal computer
1.127 jruoho 1059: PC program counter
1.110 jruoho 1060: PCB printed circuit board
1.169 kamil 1061: PCB process control block
1.216 sevan 1062: PCB Protocol Control Block
1.96 dholland 1063: PCC Portable C Compiler
1.122 jruoho 1064: PCD page-level cache disable
1.199 ginsbach 1065: PCE path computation element
1.122 jruoho 1066: PCE performance-monitor counter enable
1.199 ginsbach 1067: PCEP Path Computation Element Protocol
1068: PCH platform controller hub
1.1 jmmv 1069: PCI peripheral component interconnect
1.124 dholland 1070: PCM phase change memory
1.48 jmmv 1071: PCM pulse code modulation
1.131 wiz 1072: PCMCIA Personal Computer Memory Card International Association
1.147 ginsbach 1073: PCP Port Control Protocol
1.120 jruoho 1074: PD public domain
1.94 jruoho 1075: PDA personal digital assistant
1.117 jruoho 1076: PDA pushdown automaton
1.122 jruoho 1077: PDAG propositional directed acyclic graph
1078: PDB power distribution board
1.94 jruoho 1079: PDF portable document format
1.122 jruoho 1080: PDM pulse-duration modulation
1.110 jruoho 1081: PDN pull-down network
1.140 dholland 1082: PDP page {descriptor,directory} page
1.121 jruoho 1083: PDS product data sheet
1.53 jmmv 1084: PDU protocol data unit
1.122 jruoho 1085: PE protection enable
1.166 jnemeth 1086: PEAP Protected EAP
1.127 jruoho 1087: PECI platform environment control interface
1.122 jruoho 1088: PEP protocol extension protocol
1.55 kleink 1089: PER packed encoding rules
1.64 elad 1090: PFS perfect forward secrecy
1.121 jruoho 1091: PGAS partitioned global address space
1.122 jruoho 1092: PGE page global enable
1.131 wiz 1093: PGP Pretty Good Privacy
1.94 jruoho 1094: PHB PCI host bridge
1.131 wiz 1095: PHP PHP: Hypertext Preprocessor
1.218 sevan 1096: PIC performance instrumentation counters
1.131 wiz 1097: PIC position independent code
1.18 jmmv 1098: PIC programmable interrupt controller
1.136 pgoyette 1099: PICNIC problem in chair, not in computer
1.10 keihan 1100: PID process id
1.77 dholland 1101: PIE position independent executable
1.183 kamil 1102: PIL Priority Interrupt Level
1.121 jruoho 1103: PIM personal information manager
1.122 jruoho 1104: PIM platform-independent model
1.18 jmmv 1105: PIO programmed input/output
1.121 jruoho 1106: PIPT physically indexed, physically tagged
1.126 jruoho 1107: PIQ prefetch input queue
1108: PIT programmable interrupt timer
1.122 jruoho 1109: PIV personal identity verification
1.202 sevan 1110: PIX Private Internet eXchange
1.131 wiz 1111: PKCS public-key cryptography standards
1.94 jruoho 1112: PKI public key infrastructure
1.120 jruoho 1113: PLC programmable logic controller
1.126 jruoho 1114: PLD programmable logic device
1.54 jmmv 1115: PLL phase locked loop
1.121 jruoho 1116: PLRU pseudo LRU
1.122 jruoho 1117: PLTM package level thermal management
1.121 jruoho 1118: PM power management
1.131 wiz 1119: PM {phase,pulse} modulation
1.218 sevan 1120: PMC performance monitoring counters
1.120 jruoho 1121: PME power management event
1.227 sevan 1122: PMEG page map entry group
1.218 sevan 1123: PMI performance monitoring infrastructure
1.231 sevan 1124: PMI platform management interrupt
1.230 sevan 1125: PMIC power management integrated circut
1.107 jruoho 1126: PMIO port-mapped input/output
1.48 jmmv 1127: PMT photo-multiplier tube
1.218 sevan 1128: PMU performance monitoring units
1.121 jruoho 1129: PMU power management unit
1.1 jmmv 1130: PNG portable network graphics
1.120 jruoho 1131: PNP plug and play
1.94 jruoho 1132: POE power over ethernet
1.122 jruoho 1133: POF probability of failure
1.131 wiz 1134: POP Post Office Protocol
1.121 jruoho 1135: POP power on password
1.131 wiz 1136: POSIX Portable Operating System Interface [for Unix]
1.19 jmmv 1137: POST power on self test
1.42 simonb 1138: POTS plain old telephone system
1.200 sevan 1139: POWER Performance Optimization With Enhanced RISC
1.201 sevan 1140: POWERPC Performance Optimization With Enhanced RISC - Performance Computing
1.122 jruoho 1141: PP pair programming
1.131 wiz 1142: PPB PCI [to] PCI bridge
1.96 dholland 1143: PPC PowerPC
1.200 sevan 1144: PPC Power Performance Computing
1.120 jruoho 1145: PPI pixels per inch
1.121 jruoho 1146: PPM pages per minute
1.131 wiz 1147: PPP Point-to-Point Protocol
1148: PPPOA Point-to-Point Protocol over ATM
1149: PPPOE Point-to-Point Protocol over Ethernet
1.110 jruoho 1150: PPU physics processing unit
1.206 sevan 1151: PRAM Parameter RAM
1.172 riastrad 1152: PRBS pseudorandom bit sequence
1153: PRG pseudorandom generator
1154: PRF pseudorandom function [family]
1.51 jmmv 1155: PRML partial response, maximum likelihood
1.172 riastrad 1156: PRN pseudorandom {noise,number}
1157: PRNG pseudorandom number generator
1.16 jmmv 1158: PROM programmable read only memory
1.172 riastrad 1159: PRP pseudorandom permutation
1.202 sevan 1160: PRU Programmable Realtime Unit
1.206 sevan 1161: PS PostScript
1.122 jruoho 1162: PSD power spectral density
1.104 jruoho 1163: PSE page size extensions
1.131 wiz 1164: PSK phase shift keying
1.71 salo 1165: PSK pre-shared key
1.70 wiz 1166: PSTN public switched telephone network
1.120 jruoho 1167: PSW program status word
1.12 wiz 1168: PTE page table entry
1.24 keihan 1169: PTLA pseudo top level aggregator
1.12 wiz 1170: PTP page table page
1.122 jruoho 1171: PTV perceived target value
1.120 jruoho 1172: PU processing unit
1.226 dholland 1173: PUC personal unblocking code
1.121 jruoho 1174: PUN physical unit number
1.110 jruoho 1175: PV physical volume
1176: PVG physical volume group
1.122 jruoho 1177: PVI protected-mode virtual interrupt
1.167 ginsbach 1178: PVST Per-VLAN Spanning Tree
1.48 jmmv 1179: PWM pulse width modulation
1.94 jruoho 1180: PXE preboot execution environment
1181: QA quality assurance
1.131 wiz 1182: QAM quadrature amplitude modulation
1.122 jruoho 1183: QAM quality assurance management
1.121 jruoho 1184: QBE query by example
1185: QC quality control
1.122 jruoho 1186: QDI quasi delay insensitive
1.222 sevan 1187: QCIF quarter common intermediate format
1.47 grant 1188: QOS quality of service
1.176 dholland 1189: QVL qualified vendor list
1.140 dholland 1190: R/O read only
1191: R/W read/write
1.122 jruoho 1192: RA receiver address
1193: RA remote assistance
1.131 wiz 1194: RA resource affinity
1.122 jruoho 1195: RA router advertisement
1.120 jruoho 1196: RAD rapid application development
1.138 jdf 1197: RAID redundant array of {independent,inexpensive} disks
1.9 keihan 1198: RAM random access memory
1.143 ginsbach 1199: RAS reliability, availability and serviceability
1.131 wiz 1200: RAS remote access service
1.79 dholland 1201: RAS restartable atomic sequence
1.109 ahoka 1202: RAS row address strobe
1.122 jruoho 1203: RBF radial basis function
1.240 sevan 1204: RBNF routing backus-naur form
1.122 jruoho 1205: RBT red-black tree
1.110 jruoho 1206: RC release candidate
1207: RC remote control
1.204 sevan 1208: RC run commands
1.131 wiz 1209: RCS Revision Control System
1.10 keihan 1210: RCS revision control system
1.122 jruoho 1211: RCU read, copy, update
1.140 dholland 1212: RDB Rigid Disk Block
1213: RDBMS relational database management system
1.138 jdf 1214: RDF Resource Description Framework
1.120 jruoho 1215: RDM relational data model
1216: RDMA remote direct memory access
1.208 sevan 1217: RDRAM Rambus DRAM
1.126 jruoho 1218: RE regular expression
1.109 ahoka 1219: REST representational state transfer
1.121 jruoho 1220: RF radio frequency
1.122 jruoho 1221: RFI radio frequency interference
1.121 jruoho 1222: RFO request for ownership
1.24 keihan 1223: RGB red green blue
1.140 dholland 1224: RGBA red green blue alpha
1.180 ginsbach 1225: RGMII reduced gigabit media independent interface
1.121 jruoho 1226: RH read hit
1.140 dholland 1227: RHEL Red Hat Enterprise Linux
1.95 wiz 1228: RHL Red Hat Linux
1.122 jruoho 1229: RIB routing information base
1.59 grant 1230: RIFF Resource Interchange File Format
1.206 sevan 1231: RIP Raster Image Processor
1.131 wiz 1232: RIP Routing Information Protocol
1.95 wiz 1233: RIR regional Internet registry
1.140 dholland 1234: RISC reduced instruction set {computer,computing}
1.113 dholland 1235: RKM ROM Kernel Manual
1.48 jmmv 1236: RLE run length encoding
1.51 jmmv 1237: RLL run length limited
1.121 jruoho 1238: RLO right-to-left override
1239: RM read miss
1.215 ginsbach 1240: RMCP Remote Management Control Protocol
1.126 jruoho 1241: RMI remote method invocation
1.181 kamil 1242: RMO Relaxed Memory Ordering
1.122 jruoho 1243: RMPM rapid memory power management
1244: RMS root mean square
1245: RMW read, modify, write
1.88 tsutsui 1246: RNG random number generator
1.9 keihan 1247: ROM read only memory
1.78 dholland 1248: RPC remote procedure call
1.165 jnemeth 1249: RPKI resource PKI
1.110 jruoho 1250: RPL requested privilege level
1.1 jmmv 1251: RPM revolutions per minute
1.140 dholland 1252: RPN reverse Polish notation
1.167 ginsbach 1253: RPVST Rapid Per-VLAN Spanning Tree
1.131 wiz 1254: RR random replacement
1.126 jruoho 1255: RR round robin
1.122 jruoho 1256: RS relay station
1.131 wiz 1257: RSA Rivest, Shamir, [and] Adleman
1258: RSIP Realm Specific IP
1.166 jnemeth 1259: RSN Robust Secure Network
1.98 dholland 1260: RSS really simple syndication
1.117 jruoho 1261: RSS residual sum of squares
1.167 ginsbach 1262: RSTP Rapid Spanning Tree Protocol
1.104 jruoho 1263: RT real time
1.94 jruoho 1264: RTC real time clock
1.104 jruoho 1265: RTC real time computing
1.9 keihan 1266: RTF rich text format
1.140 dholland 1267: RTL register transfer language
1.110 jruoho 1268: RTL resistor-transistor logic
1.140 dholland 1269: RTL right to left
1.94 jruoho 1270: RTOS real time operating system
1.131 wiz 1271: RTS request to send
1.141 dholland 1272: RTT round trip time
1.117 jruoho 1273: RUP rational unified process
1.172 riastrad 1274: RW Rabin-Williams
1.121 jruoho 1275: RWM read-write memory
1.131 wiz 1276: RX {receive,receiver}
1277: S/PDIF Sony/Philips Digital Interface Format
1.124 dholland 1278: SA security advisory
1279: SA security association
1.122 jruoho 1280: SA source address
1281: SA structured analysis
1.120 jruoho 1282: SAAS software as a service
1.32 jmmv 1283: SACD super audio compact disc
1.65 elad 1284: SAD security association database
1.199 ginsbach 1285: SAL {service,system} abstraction layer
1.16 jmmv 1286: SAM serial access memory
1.121 jruoho 1287: SAM sum addressed memory
1.215 ginsbach 1288: SAML security assertion markup language
1.94 jruoho 1289: SAN storage area network
1.167 ginsbach 1290: SAP service access point
1.105 jruoho 1291: SAPIC streamlined advanced programmable interrupt controller
1.122 jruoho 1292: SASD structured analysis, structured design
1.131 wiz 1293: SASI Shugart Associates System Interface
1.126 jruoho 1294: SASL simple authentication [and] security layer
1.9 keihan 1295: SATA serial advanced technology attachment
1.199 ginsbach 1296: SAX simple API for XML
1.72 hubertf 1297: SB sound blaster
1.120 jruoho 1298: SBU standard build unit
1.122 jruoho 1299: SC store conditional
1300: SCA source code analyzer
1.123 ginsbach 1301: SCADA supervisory control and data acquisition
1.122 jruoho 1302: SCC single chip cloud
1303: SCC source code control
1.131 wiz 1304: SCCS Source Code Control System
1305: SCI scalable coherent interface
1306: SCI system control interrupt
1.70 wiz 1307: SCM software configuration management
1308: SCM source code management
1.140 dholland 1309: SCM storage-class memory
1.223 sevan 1310: SCO synchronous connection orientated
1.94 jruoho 1311: SCP secure copy
1.131 wiz 1312: SCSI Small Computer System Interface
1313: SCTP Stream Control Transmission Protocol
1.199 ginsbach 1314: SDEI software delegated exception interface
1.127 jruoho 1315: SDI symbol deinterleave
1.94 jruoho 1316: SDK software development kit
1.131 wiz 1317: SDL Simple Declarative Language
1.140 dholland 1318: SDL Simple Direct-media Layer
1.186 ginsbach 1319: SDLC {software,system,systems} development life cycle
1.190 ginsbach 1320: SDN software defined networking
1.219 ginsbach 1321: SDP Session Description Protocol
1.16 jmmv 1322: SDRAM synchronous dynamic random access memory
1.190 ginsbach 1323: SDS software defined storage
1.117 jruoho 1324: SDT syntax-directed translation
1.199 ginsbach 1325: SEA synchronous external abort
1.113 dholland 1326: SEGV segmentation violation
1.131 wiz 1327: SEO search engine optimization
1.228 sevan 1328: SES SCSI enclosure services
1.131 wiz 1329: SFC sequential function chart
1.104 jruoho 1330: SFI simple firmware interface
1.140 dholland 1331: SFI software fault isolation
1.131 wiz 1332: SFTP SSH File Transfer Protocol
1333: SFTP Serial File Transfer Protocol
1334: SFTP Simple File Transfer Protocol
1.180 ginsbach 1335: SGMII serial gigabit media independent interface
1.16 jmmv 1336: SGRAM synchronous graphics random access memory
1.172 riastrad 1337: SHA secure hash algorithm
1338: SIDH supersingular isogeny Diffie-Hellman
1.164 jnemeth 1339: SIEM security information and event management
1.222 sevan 1340: SIF source input format
1.53 jmmv 1341: SIFS short inter-frame space
1.226 dholland 1342: SIM subscriber identity module
1.120 jruoho 1343: SIMD single instruction, multiple data
1.94 jruoho 1344: SIMM single inline memory module
1.131 wiz 1345: SIP Session Initiation Protocol
1346: SIR signal-to-interference ratio
1.57 wiz 1347: SIR slow infrared
1.120 jruoho 1348: SISD single instruction, single data
1.199 ginsbach 1349: SLAAC stateless address auto-configuration
1.110 jruoho 1350: SLC single-level cell
1.16 jmmv 1351: SLDRAM synchronous-link dynamic random access memory
1.140 dholland 1352: SLED single large expensive disk
1.110 jruoho 1353: SLI scalable link interface
1354: SLI scan-line interleave
1.236 sevan 1355: SLIC system license internal code
1.120 jruoho 1356: SLOC source lines of code
1.121 jruoho 1357: SLRU segmented LRU
1.122 jruoho 1358: SMA smart memory access
1.121 jruoho 1359: SMAF system management action field
1.164 jnemeth 1360: SMAP Simple Mail Access Protocol
1361: SMAP supervisor mode access prevention
1.28 sketch 1362: SMART self-monitoring analysis and reporting technology
1.199 ginsbach 1363: SMB Server Message Block
1364: SMB system management bus
1.138 jdf 1365: SMBIOS System Management BIOS
1.121 jruoho 1366: SMC system management controller
1.224 sevan 1367: SMD storage module device
1.193 ginsbach 1368: SMEP supervisor mode execution protection
1.173 ginsbach 1369: SMF single mode fiber
1.131 wiz 1370: SMI structure of management information
1.100 jruoho 1371: SMI system management interrupt
1.104 jruoho 1372: SMM system management mode
1.7 jschauma 1373: SMP symmetric multiprocessing
1.102 jruoho 1374: SMT simultaneous multithreading
1.131 wiz 1375: SMTP Simple Mail Transfer Protocol
1.121 jruoho 1376: SMU system management unit
1.233 sevan 1377: SNA systems network architecture
1.167 ginsbach 1378: SNAP Sub-Network Access Protocol
1.199 ginsbach 1379: SNIA Storage Networking Industry Association
1.131 wiz 1380: SNMP Simple Network Management Protocol
1381: SNR signal-to-noise ratio
1.94 jruoho 1382: SOA service oriented architecture
1.131 wiz 1383: SOAP Simple Object Access Protocol
1.122 jruoho 1384: SOC system on chip
1.127 jruoho 1385: SOF start of frame
1.140 dholland 1386: SOH start of header
1.131 wiz 1387: SOHO small office/home office
1.120 jruoho 1388: SOL serial over LAN
1.235 sevan 1389: SOM system on module
1.131 wiz 1390: SP service pack
1.102 jruoho 1391: SP stack pointer
1.220 sevan 1392: SPA storage pool allocator
1.94 jruoho 1393: SPARC scalable processor architecture
1.131 wiz 1394: SPARQL SPARQL Protocol and RDF Query Language
1.65 elad 1395: SPD security policy database
1.18 jmmv 1396: SPD serial presence detect
1.131 wiz 1397: SPDIF Sony/Philips digital interface format
1.94 jruoho 1398: SPI serial peripheral interface
1399: SPI stateful packet inspection
1.182 kamil 1400: SPL system interrupt priority level
1401: SPL spinlock
1.120 jruoho 1402: SPMD single process, multiple data
1403: SPR special purpose register
1.121 jruoho 1404: SPT sectors per track
1.122 jruoho 1405: SQA software quality assurance
1.222 sevan 1406: SQCIF sub quarter common intermediate format
1.131 wiz 1407: SQL Structured Query Language
1.16 jmmv 1408: SRAM static random access memory
1.121 jruoho 1409: SRC sample rate conversion
1.228 sevan 1410: SRP SCSI RDMA protocol
1.131 wiz 1411: SS self-snoop
1.104 jruoho 1412: SS stack segment
1.175 kamil 1413: SSA static single assignment
1.167 ginsbach 1414: SSAP source service access point
1.122 jruoho 1415: SSB single-sideband modulation
1.94 jruoho 1416: SSD solid state drive
1417: SSE streaming SIMD extensions
1.48 jmmv 1418: SSFDC solid state floppy disc card
1.9 keihan 1419: SSH secure shell
1.120 jruoho 1420: SSI server side includes
1.135 jdf 1421: SSID service set identifier
1.9 keihan 1422: SSL secure sockets layer
1.94 jruoho 1423: SSP stack smashing protection
1.122 jruoho 1424: STC software thermal control
1425: STD state transition diagram
1.215 ginsbach 1426: STOMP Streaming Text Oriented Messaging Protocol
1.131 wiz 1427: STP Spanning Tree Protocol
1.40 keihan 1428: STP shielded twisted pair
1.227 sevan 1429: SUN Stanford University Network
1.96 dholland 1430: SUS Single Unix Specification
1.126 jruoho 1431: SUT system under test
1.94 jruoho 1432: SVG scalable vector graphics
1.138 jdf 1433: SVGA Super Video Graphics Array
1.199 ginsbach 1434: SVI {switch,switched} virtual interface
1.104 jruoho 1435: SVM secure virtual machine
1436: SVM support vector machine
1.127 jruoho 1437: SVR System V Release
1.188 pgoyette 1438: SVS Separate Virtual Space
1.96 dholland 1439: SWF Shockwave Flash
1.126 jruoho 1440: SWIG simplified wrapper [and] interface generator
1.127 jruoho 1441: SYR symbol timing recovery
1.131 wiz 1442: TA test assertion
1.122 jruoho 1443: TA transmitter address
1444: TAI international atomic time
1.120 jruoho 1445: TAO track at once
1.121 jruoho 1446: TAOCP The Art of Computer Programming
1.131 wiz 1447: TAP Test Anything Protocol
1.122 jruoho 1448: TAS test and set
1449: TB terabyte
1.241 sevan 1450: TBNF transitional backus-naur form
1.121 jruoho 1451: TCB trace capture buffer
1.78 dholland 1452: TCB trusted computing base
1.105 jruoho 1453: TCC thermal control circuit
1.9 keihan 1454: TCL tool command language
1.131 wiz 1455: TCP Transmission Control Protocol
1.72 hubertf 1456: TCQ tagged command queueing
1.122 jruoho 1457: TDC time [to] digital converter
1.215 ginsbach 1458: TDD test-driven development
1.126 jruoho 1459: TDD time division duplex
1.122 jruoho 1460: TDM time division multiplexing
1.126 jruoho 1461: TDMA time division multiple access
1.122 jruoho 1462: TDOA time difference of arrival
1.131 wiz 1463: TDP thermal design {point,power}
1.117 jruoho 1464: TECO Text Editor and Corrector
1.19 jmmv 1465: TFT thin film transistor
1.131 wiz 1466: TFTP Trivial File Transfer Protocol
1.85 spz 1467: TIAS try it and see
1.33 grant 1468: TIFF tagged image file format
1.85 spz 1469: TITS try it to see
1.122 jruoho 1470: TK temporal key
1.135 jdf 1471: TKIP Temporal Key Integrity Protocol
1.24 keihan 1472: TLA top level aggregator
1.141 dholland 1473: TLB translation lookaside buffer
1.10 keihan 1474: TLD top level domain
1.233 sevan 1475: TLI transport layer interface
1.131 wiz 1476: TLS thread local storage
1.61 jschauma 1477: TLS transport layer security
1.117 jruoho 1478: TM Turing machine
1.122 jruoho 1479: TM thermal monitor
1.19 jmmv 1480: TMDS transition minimized differential signaling
1.110 jruoho 1481: TMO timeout
1.121 jruoho 1482: TOD time of day
1.94 jruoho 1483: TOS terms of service
1.131 wiz 1484: TOS trusted operating system
1.97 dholland 1485: TOS type of service
1.117 jruoho 1486: TP transaction processing
1.140 dholland 1487: TPI tracks per inch
1.131 wiz 1488: TPM transactions per minute
1.101 jruoho 1489: TPM trusted platform module
1.116 jruoho 1490: TPR task priority register
1.140 dholland 1491: TPS transactions per second
1.127 jruoho 1492: TPS transmission parameters signaling
1.131 wiz 1493: TR task register
1.53 jmmv 1494: TR token ring
1.127 jruoho 1495: TRL timing recovery loop
1.88 tsutsui 1496: TRNG true random number generator
1.131 wiz 1497: TS terminal services
1498: TS time series
1.110 jruoho 1499: TS time stamp
1.127 jruoho 1500: TS transport stream
1.209 kamil 1501: TSAN Thread Sanitizer
1.104 jruoho 1502: TSC time stamp counter
1.122 jruoho 1503: TSD time stamp disable
1.199 ginsbach 1504: TSDB time series database
1.122 jruoho 1505: TSL test, set, lock
1.120 jruoho 1506: TSO time sharing option
1.181 kamil 1507: TSO Total Store Ordering
1.131 wiz 1508: TSP Time-Stamp Protocol
1509: TSP Tunnel Setup Protocol
1.127 jruoho 1510: TSP time synchronization protocol
1.120 jruoho 1511: TSP traveling salesman problem
1.100 jruoho 1512: TSS task state segment
1.48 jmmv 1513: TTL time to live
1.110 jruoho 1514: TTL transistor-transistor logic
1515: TTM translation table maps
1.9 keihan 1516: TTY teletype
1.131 wiz 1517: TUI {terminal,text-based,textual} user interface
1518: TX {transmit out,transmitter}
1.221 sevan 1519: TXG transaction group
1.9 keihan 1520: TZ time zone
1.131 wiz 1521: UAC user {access,account} control
1.163 pgoyette 1522: UAF use-after-free
1.53 jmmv 1523: UART universal asynchronous receiver/transmitter
1.126 jruoho 1524: UAT user acceptance testing
1.178 leot 1525: UB undefined behavior
1.209 kamil 1526: UBSAN Undefined Behavior Sanitizer
1.18 jmmv 1527: UC uncacheable
1.117 jruoho 1528: UCS uniform-cost search
1.94 jruoho 1529: UDMA ultra DMA
1.131 wiz 1530: UDO ultra density optical
1531: UDP User Datagram Protocol
1.156 jnemeth 1532: UEFI unified extensible firmware interface
1.131 wiz 1533: UFS Unix File System
1.156 jnemeth 1534: UGA universal graphics adapter
1.131 wiz 1535: UI unit interval
1.94 jruoho 1536: UI user interface
1.226 dholland 1537: UICC universal integrated circuit card
1.131 wiz 1538: UID unique identifier
1.96 dholland 1539: UID user identifier
1.120 jruoho 1540: UL upload
1541: UMA uniform memory access
1.107 jruoho 1542: UMA upper memory area
1543: UMB upper memory block
1.131 wiz 1544: UML unified modeling language
1.122 jruoho 1545: UMPC ultra-mobile PC
1.126 jruoho 1546: UPNP universal plug and play
1.10 keihan 1547: UPS uninterruptible power supply
1548: URI uniform resource identifier
1549: URL uniform resource locator
1.120 jruoho 1550: URN uniform resource name
1.53 jmmv 1551: USART universal synchronous/asynchronous receiver/transmitter
1.9 keihan 1552: USB universal serial bus
1.18 jmmv 1553: USWC uncacheable speculative write combining
1.122 jruoho 1554: UT unit test
1.94 jruoho 1555: UTC coordinated universal time
1.40 keihan 1556: UTP unshielded twisted pair
1.131 wiz 1557: UUCP Unix-to-Unix Copy Protocol
1.97 dholland 1558: UUID universally unique identifier
1.73 jschauma 1559: UUOC useless use of cat
1.126 jruoho 1560: UUT unit under test
1.128 ginsbach 1561: UX user experience
1.131 wiz 1562: VA vertical alignment
1563: VA virtual address
1.122 jruoho 1564: VA volt-ampere
1.30 jmmv 1565: VAX virtual address extension
1.121 jruoho 1566: VB Visual Basic
1.122 jruoho 1567: VCA variable-gain amplifier
1.109 ahoka 1568: VCC common collector voltage
1.122 jruoho 1569: VCF voltage-controlled filter
1.16 jmmv 1570: VCM virtual channel memory
1.122 jruoho 1571: VCO voltage-controlled oscillator
1.124 dholland 1572: VCS version control system
1.138 jdf 1573: VESA Video Electronics Standards Association
1.122 jruoho 1574: VFO variable-frequency oscillator
1.236 sevan 1575: VFS virtual file system
1.94 jruoho 1576: VG volume group
1.138 jdf 1577: VGA Video Graphics Array
1.110 jruoho 1578: VHDCI very high density cable interconnect
1.199 ginsbach 1579: VID {VLAN,voltage level} identifier
1.211 sevan 1580: VIM Vi IMproved
1.121 jruoho 1581: VIPT virtually indexed, physically tagged
1582: VIVT virtually indexed, virtually tagged
1.53 jmmv 1583: VLAN virtual local area network
1.110 jruoho 1584: VLIW very long instruction word
1.78 dholland 1585: VLSI very large scale integration
1.72 hubertf 1586: VLSM variable length subnet mask
1587: VM virtual {machine,memory}
1.122 jruoho 1588: VME virtual mode extension
1.131 wiz 1589: VMX vector multimedia extensions
1.120 jruoho 1590: VMX virtual machine extensions
1.131 wiz 1591: VOD video on demand
1.244 sevan 1592: VPD vital product data
1.9 keihan 1593: VPN virtual private network
1.120 jruoho 1594: VR virtual reality
1.16 jmmv 1595: VRAM video random access memory
1.174 ginsbach 1596: VRF virtual routing and forwarding
1.121 jruoho 1597: VRM voltage regulator module
1.131 wiz 1598: VRRP Virtual Router Redundancy Protocol
1.167 ginsbach 1599: VSTP VLAN Spanning Tree Protocol
1600: VTP VLAN Trunking Protocol
1.245 sevan 1601: VXFS Veritas file sytem
1602: VXVM Veritas volume manager
1.131 wiz 1603: W watt
1.96 dholland 1604: W3C World Wide Web Consortium
1.242 sevan 1605: WAFL write anywhere file layout
1.199 ginsbach 1606: WAMP Web Application Messaging Protocol
1.30 jmmv 1607: WAN wide area network
1.131 wiz 1608: WAP Wireless Application Protocol
1.135 jdf 1609: WAP wireless access point
1.206 sevan 1610: WDM Windows Driver Model
1.207 sevan 1611: WDDM Windows Display Driver Model
1.131 wiz 1612: WDT watchdog timer
1613: WEP Wired Equivalent Privacy
1.94 jruoho 1614: WFI wait for interrupt
1.126 jruoho 1615: WFQ weighted fair queuing
1.121 jruoho 1616: WH write hit
1.131 wiz 1617: WIFI wireless fidelity
1.53 jmmv 1618: WLAN wireless local area network
1.121 jruoho 1619: WM write miss
1.131 wiz 1620: WMI Windows Management Instrumentation
1621: WOL Wake-on-LAN
1622: WOM Wake-on-Modem
1623: WOR Wake-on-Ring
1.113 dholland 1624: WORM write once read many
1.131 wiz 1625: WP WordPerfect
1626: WP word processor
1.122 jruoho 1627: WP write protect
1.135 jdf 1628: WPA Wi-Fi Protected Access
1629: WPA2 Wi-Fi Protected Access II
1630: WPS Wi-Fi Protected Setup
1.16 jmmv 1631: WRAM window random access memory
1.122 jruoho 1632: WS web services
1.121 jruoho 1633: WTC write through caching
1.96 dholland 1634: WWAN wireless wide area network
1.15 keihan 1635: WWW world wide web
1.217 sevan 1636: WYSIAYG what you see is all you get
1.94 jruoho 1637: WYSIWYG what you see is what you get
1.108 jruoho 1638: XBAR crossbar
1.140 dholland 1639: XDMCP X Display Manager control protocol
1.55 kleink 1640: XER XML encoding rules
1.138 jdf 1641: XGA Extended Graphics Array
1.202 sevan 1642: XHR XMLHttpRequest
1.108 jruoho 1643: XIP execute in place
1.131 wiz 1644: XML Extensible Markup Language
1.234 sevan 1645: XNA XNA's not acronymed
1.233 sevan 1646: XNS Xerox network systems
1.117 jruoho 1647: XOR exclusive or
1.94 jruoho 1648: XP extreme programming
1.142 jnemeth 1649: XSI X/Open System Interface
1.1 jmmv 1650: XSL extensible stylesheet language
1.94 jruoho 1651: XSS cross site scripting
1.18 jmmv 1652: XT extended technology
1.233 sevan 1653: XTI X/Open transport interface
1.94 jruoho 1654: XUL XML user interface language
1.96 dholland 1655: YACC yet another compiler compiler
1.215 ginsbach 1656: YAML YAML ain't markup language
1.199 ginsbach 1657: YANG yet another next generation
1.131 wiz 1658: YAWPFAPR you are welcome; please file a problem report
1659: YP Yellow Pages
1.121 jruoho 1660: YTD year to date
1.220 sevan 1661: ZAP ZFS attribute processor
1.122 jruoho 1662: ZBR zone bit recording
1.58 kleink 1663: ZFOD zero-filled on demand
1.220 sevan 1664: ZFS zettabyte file system
1.120 jruoho 1665: ZIF zero insertion force
1.94 jruoho 1666: ZIFS zero insertion force socket
1.220 sevan 1667: ZIL ZFS intent log
1.120 jruoho 1668: ZMA zone multicast address
1.248 ! sevan 1669: ZOI zero one infinity
! 1670: ZOI zone of influence
1.220 sevan 1671: ZPL ZFS Posix layer
1672: ZVOL ZFS Volume
CVSweb <webmaster@jp.NetBSD.org>